Fix for IQ problem for ADC:
RX samples are ordered I0,Q1,I1,Q2,I2,... where they should be I0,Q0,I1,Q1,I2,Q2,... This fix also alias/ghost problems to be confirmed on other boards/more tests... Drawback now IQ is QI, will be fixed/swapped in LPC4330 SGPIO code.
This commit is contained in:
File diff suppressed because it is too large
Load Diff
Binary file not shown.
@ -9,22 +9,22 @@
|
||||
<!-- along with the project source files, is sufficient to open and -->
|
||||
<!-- implement in ISE Project Navigator. -->
|
||||
<!-- -->
|
||||
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
|
||||
<!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
|
||||
</header>
|
||||
|
||||
<version xil_pn:ise_version="13.4" xil_pn:schema_version="2"/>
|
||||
<version xil_pn:ise_version="14.1" xil_pn:schema_version="2"/>
|
||||
|
||||
<files>
|
||||
<file xil_pn:name="top.vhd" xil_pn:type="FILE_VHDL">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="1"/>
|
||||
</file>
|
||||
<file xil_pn:name="top.ucf" xil_pn:type="FILE_UCF">
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
|
||||
</file>
|
||||
<file xil_pn:name="top_tb.vhd" xil_pn:type="FILE_VHDL">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
|
||||
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="38"/>
|
||||
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="2"/>
|
||||
</file>
|
||||
<file xil_pn:name="top.ucf" xil_pn:type="FILE_UCF">
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
|
||||
</file>
|
||||
</files>
|
||||
|
||||
@ -97,6 +97,9 @@
|
||||
<property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Keep Hierarchy CPLD" xil_pn:value="Yes" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Last Applied Goal" xil_pn:value="Balanced" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Last Applied Strategy" xil_pn:value="Xilinx Default (unlocked)" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Last Unlock Status" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Launch SDK after Export" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Library for Verilog Sources" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Load glbl" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
@ -200,6 +203,7 @@
|
||||
<property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Timing Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="User Browsed Strategy Files" xil_pn:value="E:/Xilinx/14.1/ISE_DS/ISE/data/default.xds" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Verilog 2001 Xst" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
|
@ -1,5 +1,5 @@
|
||||
Programmer Jedec Bit Map
|
||||
Date Extracted: Thu Feb 14 11:55:50 2013
|
||||
Date Extracted: Wed Apr 03 20:29:28 2013
|
||||
|
||||
QF25812*
|
||||
QP100*
|
||||
@ -7,7 +7,7 @@ QV0*
|
||||
F0*
|
||||
X0*
|
||||
J0 0*
|
||||
N VERSION O.87xd*
|
||||
N VERSION P.15xf*
|
||||
N DEVICE XC2C64A-7-VQ100*
|
||||
|
||||
Note Block 0 *
|
||||
@ -16,21 +16,21 @@ L000000 1111111111111111*
|
||||
L000016 1111111111111111*
|
||||
L000032 1111111111111111*
|
||||
L000048 1111111111111111*
|
||||
L000064 1111111111111111*
|
||||
L000080 1111111111111111*
|
||||
L000096 1111111111111111*
|
||||
L000112 1111111111111111*
|
||||
L000128 1111111111111111*
|
||||
L000144 1111111111111111*
|
||||
L000160 1111111111111111*
|
||||
L000176 1111111111111111*
|
||||
L000192 1111111111111111*
|
||||
L000064 1111111010110111*
|
||||
L000080 1111111011010111*
|
||||
L000096 1111111010110111*
|
||||
L000112 1110011011111111*
|
||||
L000128 1111111010110111*
|
||||
L000144 1111111010110111*
|
||||
L000160 1111111010110111*
|
||||
L000176 1111111011010111*
|
||||
L000192 1111111011010111*
|
||||
L000208 1111111111111111*
|
||||
L000224 1111111111111111*
|
||||
L000240 1111111111111111*
|
||||
L000240 1111111011010111*
|
||||
L000256 1111111111111111*
|
||||
L000272 1111111111111111*
|
||||
L000288 1111111111111111*
|
||||
L000288 1111111011110011*
|
||||
L000304 1111111111111111*
|
||||
L000320 1111111111111111*
|
||||
L000336 1111111111111111*
|
||||
@ -44,7 +44,7 @@ L000448 1111111111111111*
|
||||
L000464 1111111111111111*
|
||||
L000480 1111111111111111*
|
||||
L000496 1111111111111111*
|
||||
L000512 1111111111111111*
|
||||
L000512 1111111011100111*
|
||||
L000528 1111111111111111*
|
||||
L000544 1111111111111111*
|
||||
L000560 1111111111111111*
|
||||
@ -61,7 +61,7 @@ L000880 111111111111111111111111111111111111111111111111111111111111111111111111
|
||||
L000960 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L001040 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L001120 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L001200 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L001200 11111111111011111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L001280 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L001360 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L001440 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
@ -79,37 +79,37 @@ L002320 111111111111111111111111111111111111111111111111111111111111111111111111
|
||||
L002400 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L002480 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L002560 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L002640 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L002640 11111111111111011111111111111111111111111111111111111111111111111111111111111111*
|
||||
L002720 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L002800 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L002880 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L002880 11111111111111111111111111111111111111111111111111111111111111111011111111111111*
|
||||
L002960 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L003040 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L003120 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L003120 11111111111111111111111111111111111101111111111111111111111111111111111111111111*
|
||||
L003200 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L003280 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L003360 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L003360 11111111111111111101111111111111111111111111111111111111111111111111111111111111*
|
||||
L003440 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L003520 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L003600 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L003600 11111111111101111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L003680 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L003760 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L003840 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L003840 11111111011111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L003920 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L004000 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L004080 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L004080 11111111111111110111111111111111111111111111111111111111111111111111111111111111*
|
||||
L004160 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L004240 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L004320 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L004320 11111111111111111111011111111111111111111111111111111111111111111111111111111111*
|
||||
L004400 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L004480 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L004560 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L004560 11111111111111111111110111111111111111111111111111111111111111111111111111111111*
|
||||
L004640 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L004720 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L004800 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L004800 11111111111111111111111111111101111111111111111111111111111111111111111111111111*
|
||||
L004880 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L004960 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L005040 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L005040 11111111111111111111111101111111111111111111111111111111111111111111111111111111*
|
||||
|
||||
Note Block 0 PLA OR array *
|
||||
L005120 1111111111111111*
|
||||
@ -140,7 +140,7 @@ L005504 1111111111111111*
|
||||
L005520 1111111111111111*
|
||||
L005536 1111111111111111*
|
||||
L005552 1111111111111111*
|
||||
L005568 1111111111111111*
|
||||
L005568 1111101111111111*
|
||||
L005584 1111111111111111*
|
||||
L005600 1111111111111111*
|
||||
L005616 1111111111111111*
|
||||
@ -176,37 +176,37 @@ L006043 000001111001111100010000001*
|
||||
L006070 000001111001111100010000001*
|
||||
L006097 000001111001111100010000001*
|
||||
L006124 000001111001111100010000001*
|
||||
L006151 000001111001111100010000001*
|
||||
L006178 000001111001111100010000001*
|
||||
L006205 000001111001111100010000001*
|
||||
L006232 000001111001111100010000001*
|
||||
L006259 000001111001111100010000001*
|
||||
L006286 000001111001111100010000001*
|
||||
L006313 000001111001111100010000001*
|
||||
L006340 000001111001111100010000001*
|
||||
L006367 000001111001111100010000001*
|
||||
L006394 000001111001111100010000001*
|
||||
L006421 000001111001111100010000001*
|
||||
L006151 000101111111111100000000001*
|
||||
L006178 000001111001111101010000001*
|
||||
L006205 000001111001111101010000001*
|
||||
L006232 000101111000011101001000101*
|
||||
L006259 000101111000011101001000101*
|
||||
L006286 000101111000011101001000101*
|
||||
L006313 000101111000011101001000101*
|
||||
L006340 000101111000011101001000101*
|
||||
L006367 000101111000011101001000101*
|
||||
L006394 000101111000011101001000101*
|
||||
L006421 000101111000011101001000101*
|
||||
|
||||
Note Block 1 *
|
||||
Note Block 1 ZIA *
|
||||
L006448 1111111111111111*
|
||||
L006464 1111111011010111*
|
||||
L006480 1111111011010111*
|
||||
L006496 1111111011010111*
|
||||
L006512 1111111011010111*
|
||||
L006448 1111111011110101*
|
||||
L006464 1111111011110101*
|
||||
L006480 1111111011110011*
|
||||
L006496 1111111011110101*
|
||||
L006512 1111111111111111*
|
||||
L006528 1111111011010111*
|
||||
L006544 1111111111111111*
|
||||
L006544 1111111011110101*
|
||||
L006560 1111111111111111*
|
||||
L006576 1111111111111111*
|
||||
L006592 1111111011010111*
|
||||
L006608 1111111011100111*
|
||||
L006576 1111111011110101*
|
||||
L006592 1111111011110101*
|
||||
L006608 1111111111111111*
|
||||
L006624 1111111111111111*
|
||||
L006640 1111111111111111*
|
||||
L006656 1111111111111111*
|
||||
L006672 1111111011100111*
|
||||
L006688 1111111111111111*
|
||||
L006704 1111111011100111*
|
||||
L006672 1111111011110110*
|
||||
L006688 1111111011110110*
|
||||
L006704 1111111111111111*
|
||||
L006720 1111111111111111*
|
||||
L006736 1111111111111111*
|
||||
L006752 1111111111111111*
|
||||
@ -232,8 +232,8 @@ L007056 1111111111111111*
|
||||
L007072 1111111111111111*
|
||||
|
||||
Note Block 1 PLA AND array *
|
||||
L007088 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L007168 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L007088 11110111110111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L007168 11111011111011111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L007248 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L007328 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L007408 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
@ -251,13 +251,13 @@ L008288 111111111111111111111111111111111111111111111111111111111111111111111111
|
||||
L008368 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L008448 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L008528 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L008608 11111111110111111111111111111011111111111111111111111111111111111111111111111111*
|
||||
L008608 11111110110111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L008688 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L008768 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L008848 11011111110111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L008848 11111111110111110111111111111111111111111111111111111111111111111111111111111111*
|
||||
L008928 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L009008 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L009088 11110111110111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L009088 11111111110111111101111111111111111111111111111111111111111111111111111111111111*
|
||||
L009168 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L009248 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L009328 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
@ -266,22 +266,22 @@ L009488 111111111111111111111111111111111111111111111111111111111111111111111111
|
||||
L009568 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L009648 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L009728 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L009808 11111111010111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L009808 11011111110111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L009888 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L009968 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L010048 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L010128 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L010208 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L010288 11111101110111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L010288 01111111110111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L010368 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L010448 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L010528 11111111110111111101111111111111111111111111111111111111111111111111111111111111*
|
||||
L010528 11111111110101111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L010608 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L010688 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L010768 11111111110111111111011111111111111111111111111111111111111111111111111111111111*
|
||||
L010768 11111111110111111111111111110111111111111111111111111111111111111111111111111111*
|
||||
L010848 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L010928 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L011008 11111111110111111111111111111111011111111111111111111111111111111111111111111111*
|
||||
L011008 11111111110111111111111111111101111111111111111111111111111111111111111111111111*
|
||||
L011088 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L011168 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L011248 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
@ -290,8 +290,8 @@ L011408 111111111111111111111111111111111111111111111111111111111111111111111111
|
||||
L011488 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
|
||||
Note Block 1 PLA OR array *
|
||||
L011568 1111111111111111*
|
||||
L011584 1111111111111111*
|
||||
L011568 1111111110111111*
|
||||
L011584 1111111110111111*
|
||||
L011600 1111111111111111*
|
||||
L011616 1111111111111111*
|
||||
L011632 1111111111111111*
|
||||
@ -358,7 +358,7 @@ L012599 000101111001111101000000001*
|
||||
L012626 000001111001111110011111100*
|
||||
L012653 000001111000011100011111100*
|
||||
L012680 000101111001111101000000001*
|
||||
L012707 000001111001111100011111100*
|
||||
L012707 000001111000000100011111101*
|
||||
L012734 000101111001111101000000001*
|
||||
L012761 000101111001111101000000001*
|
||||
L012788 000101111001111101000000001*
|
||||
@ -368,22 +368,22 @@ L012869 000001111001111100010000001*
|
||||
|
||||
Note Block 2 *
|
||||
Note Block 2 ZIA *
|
||||
L012896 1111111111111111*
|
||||
L012912 1111111111111111*
|
||||
L012928 1111111011110011*
|
||||
L012944 1111111111111111*
|
||||
L012960 1111111010110111*
|
||||
L012976 1111111011010111*
|
||||
L012992 1111111010110111*
|
||||
L013008 1111111011010111*
|
||||
L013024 1111111010110111*
|
||||
L013040 1111111010110111*
|
||||
L013056 1111111010110111*
|
||||
L013072 1111111011100111*
|
||||
L013088 1111111011010111*
|
||||
L013104 1111111111111111*
|
||||
L013120 1111111111111111*
|
||||
L013136 1111111011010111*
|
||||
L012896 1111111011110101*
|
||||
L012912 1111111011110101*
|
||||
L012928 1111111111111111*
|
||||
L012944 1111111011110101*
|
||||
L012960 1111111111111111*
|
||||
L012976 1111111111111111*
|
||||
L012992 1111111011100111*
|
||||
L013008 1110011011111111*
|
||||
L013024 1111111011110101*
|
||||
L013040 1111111011110101*
|
||||
L013056 1111111111111111*
|
||||
L013072 1111111111111111*
|
||||
L013088 1111111111111111*
|
||||
L013104 1111111011110101*
|
||||
L013120 1111111011110110*
|
||||
L013136 1111111011110110*
|
||||
L013152 1111111111111111*
|
||||
L013168 1111111111111111*
|
||||
L013184 1111111111111111*
|
||||
@ -410,44 +410,44 @@ L013504 1111111111111111*
|
||||
L013520 1111111111111111*
|
||||
|
||||
Note Block 2 PLA AND array *
|
||||
L013536 11111111111111111111111011111111111111111111111111111111111111111111111111111111*
|
||||
L013536 11111111111110111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L013616 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L013696 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L013776 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L013856 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L013936 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L014016 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L014096 11111111111011111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L014096 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L014176 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L014256 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L014336 11110111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L014336 11111111111111011111111111111111111111111111111111111111111111111111111111111111*
|
||||
L014416 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L014496 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L014576 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L014656 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L014736 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L014816 11111111111111111101111111111111111111111111111111111111111111111111111111111111*
|
||||
L014816 11111111111111111111111111111101111111111111111111111111111111111111111111111111*
|
||||
L014896 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L014976 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L015056 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L015136 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L015216 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L015296 11111111111101111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L015296 11111111111111111111111111110111111111111111111111111111111111111111111111111111*
|
||||
L015376 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L015456 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L015536 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L015616 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L015696 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L015776 11111111111111111111111101111111111111111111111111111111111111111111111111111111*
|
||||
L015776 11111101111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L015856 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L015936 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L016016 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L016096 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L016176 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L016256 11111111011111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L016256 11111111111111111111111111011111111111111111111111111111111111111111111111111111*
|
||||
L016336 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L016416 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L016496 11111111111111110111111111111111111111111111111111111111111111111111111111111111*
|
||||
L016496 01111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L016576 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L016656 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L016736 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
@ -459,13 +459,13 @@ L017136 111111111111111111111111111111111111111111111111111111111111111111111111
|
||||
L017216 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L017296 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L017376 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L017456 11111111111111111111011111111111111111111111111111111111111111111111111111111111*
|
||||
L017456 11011111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L017536 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L017616 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L017696 11111111111111011111111111111111111111111111111111111111111111111111111111111111*
|
||||
L017696 11111111111111111101111111111111111111111111111111111111111111111111111111111111*
|
||||
L017776 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L017856 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L017936 11111111111111111111111111111101111111111111111111111111111111111111111111111111*
|
||||
L017936 11111111111111110111111111111111111111111111111111111111111111111111111111111111*
|
||||
|
||||
Note Block 2 PLA OR array *
|
||||
L018016 0111111111111111*
|
||||
@ -529,20 +529,20 @@ Note Block 2 I/O Macrocell Configuration 27 bits *
|
||||
N Aclk ClkOp Clk:2 ClkFreq R:2 P:2 RegMod:2 INz:2 FB:2 InReg St XorIn:2 RegCom Oe:4 Tm Slw Pu*
|
||||
L018912 000101111111111100000000001*
|
||||
L018939 000001111001111110011111100*
|
||||
L018966 000101111000011101001000101*
|
||||
L018966 000001111001111101010000001*
|
||||
L018993 000001111001111110011111100*
|
||||
L019020 000101111000011101001000101*
|
||||
L019020 000001111001111101010000001*
|
||||
L019047 000001111001111110011111100*
|
||||
L019074 000101111000011101001000101*
|
||||
L019074 000001111001111101010000001*
|
||||
L019101 000001111000011100011111100*
|
||||
L019128 000101111000011101001000101*
|
||||
L019155 000101111000011101001000101*
|
||||
L019128 000001111001111101010000001*
|
||||
L019155 000001111001111101010000001*
|
||||
L019182 000001111000011100011111100*
|
||||
L019209 000001111001111110011111100*
|
||||
L019236 000001111001111110011111100*
|
||||
L019263 000101111000011101001000101*
|
||||
L019290 000101111000011101001000101*
|
||||
L019317 000101111000011101001000101*
|
||||
L019263 000001111001111101010000001*
|
||||
L019290 000001111001111101010000001*
|
||||
L019317 000001111001111101010000001*
|
||||
|
||||
Note Block 3 *
|
||||
Note Block 3 ZIA *
|
||||
@ -753,5 +753,5 @@ L025810 0*
|
||||
Note I/O Bank 1 Vcco *
|
||||
L025811 0*
|
||||
|
||||
C0AA3*
|
||||
D0B9
|
||||
CFE0C*
|
||||
D09F
|
||||
|
@ -1,22 +1,23 @@
|
||||
--
|
||||
-- Copyright 2012 Jared Boone
|
||||
--
|
||||
-- This file is part of HackRF.
|
||||
--
|
||||
-- This program is free software; you can redistribute it and/or modify
|
||||
-- it under the terms of the GNU General Public License as published by
|
||||
-- the Free Software Foundation; either version 2, or (at your option)
|
||||
-- any later version.
|
||||
--
|
||||
-- This program is distributed in the hope that it will be useful,
|
||||
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
|
||||
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
|
||||
-- GNU General Public License for more details.
|
||||
--
|
||||
-- You should have received a copy of the GNU General Public License
|
||||
-- along with this program; see the file COPYING. If not, write to
|
||||
-- the Free Software Foundation, Inc., 51 Franklin Street,
|
||||
-- Boston, MA 02110-1301, USA.
|
||||
-- Copyright 2012 Jared Boone
|
||||
-- Copyright 2013 Benjamin Vernoux
|
||||
--
|
||||
-- This file is part of HackRF.
|
||||
--
|
||||
-- This program is free software; you can redistribute it and/or modify
|
||||
-- it under the terms of the GNU General Public License as published by
|
||||
-- the Free Software Foundation; either version 2, or (at your option)
|
||||
-- any later version.
|
||||
--
|
||||
-- This program is distributed in the hope that it will be useful,
|
||||
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
|
||||
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
|
||||
-- GNU General Public License for more details.
|
||||
--
|
||||
-- You should have received a copy of the GNU General Public License
|
||||
-- along with this program; see the file COPYING. If not, write to
|
||||
-- the Free Software Foundation, Inc., 51 Franklin Street,
|
||||
-- Boston, MA 02110-1301, USA.
|
||||
|
||||
library IEEE;
|
||||
use IEEE.STD_LOGIC_1164.ALL;
|
||||
@ -62,7 +63,7 @@ architecture Behavioral of top is
|
||||
begin
|
||||
|
||||
B1AUX <= (others => '0');
|
||||
B2AUX <= (others => '0');
|
||||
--B2AUX <= (others => '0');
|
||||
|
||||
------------------------------------------------
|
||||
-- Codec interface
|
||||
@ -93,6 +94,7 @@ begin
|
||||
transfer_direction_i <= to_dac when HOST_DIRECTION = '1'
|
||||
else from_adc;
|
||||
|
||||
B2AUX <= HOST_DATA & host_clk_i & host_data_enable_i & host_data_capture_o & "00000";
|
||||
------------------------------------------------
|
||||
|
||||
process(host_clk_i)
|
||||
@ -116,9 +118,15 @@ begin
|
||||
process(host_clk_i, codec_clk_i)
|
||||
begin
|
||||
if rising_edge(host_clk_i) then
|
||||
if codec_clk_i = '1' then
|
||||
host_data_capture_o <= host_data_enable_i;
|
||||
end if;
|
||||
if transfer_direction_i = to_dac then
|
||||
if codec_clk_i = '1' then
|
||||
host_data_capture_o <= host_data_enable_i;
|
||||
end if;
|
||||
else
|
||||
if codec_clk_i = '0' then
|
||||
host_data_capture_o <= host_data_enable_i;
|
||||
end if;
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
|
Reference in New Issue
Block a user