diff --git a/hardware/jellybean/sgpio_if/default.svf b/hardware/jellybean/sgpio_if/default.svf
index e0f7b9a8..e23edb4c 100755
--- a/hardware/jellybean/sgpio_if/default.svf
+++ b/hardware/jellybean/sgpio_if/default.svf
@@ -1,5 +1,5 @@
-// Created using Xilinx Cse Software [ISE - 13.4]
-// Date: Thu Feb 14 12:00:19 2013
+// Created using Xilinx Cse Software [ISE - 14.1]
+// Date: Wed Apr 03 20:35:50 2013
TRST OFF;
ENDIR IDLE;
@@ -153,144 +153,144 @@ SIR 8 TDI (e8) ;
// Loading devices with 'program' instruction.
ENDIR IRPAUSE;
SIR 8 TDI (ea) ;
-SDR 281 TDI (0003c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) SMASK (01ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+SDR 281 TDI (0003c1ffffffffffffffffffffffffffffddff7ffffffffffbfffffffffffffffffffe0f) SMASK (01ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
ENDIR IDLE;
RUNTEST 10000 TCK;
-SDR 281 TDI (0102f9fffffffffffffffffffffffffffffddf7fffffffffffffffffffbffffffffffe7f) ;
+SDR 281 TDI (0102f9ffffffffffffffffffffffffffffddff7fffffffffffffbffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (018201fffffffffffffffffffffffffffffddf7fffffffffffffffffeffffffffffffe7c) ;
+SDR 281 TDI (018201fffffffffffffffffffffffffffff5ff7ffffffffffffffffffffffffffffff27c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0083c1fffffffffffffffffffffffffffffddf7ffffffffffbfffffffffffffffffffe0f) ;
+SDR 281 TDI (0083c1ffffffffffffffffffffffffffffddff7fffffffffffffffffffff7ffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00c2f9fffffffffffffffffffffffffffffddf7fffffffffffffbffffffffffffffffe7f) ;
+SDR 281 TDI (00c2f9ffffffffffffffffffff7ffffffffbeefffffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01c201fffffffffffffffffffffffffffffddf7fffffefbefbffbfffefbefffffffffe7c) ;
+SDR 281 TDI (01c201fffbfffffffffffffffffffffffff99e7fffffefbefbffbfffefbefffffffff27c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0143c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (0143c1ffffffffffffffffffdfffffffffd9ee7ffffffffefffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0042f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+SDR 281 TDI (0042f9ffffffffffffdffffffffffffffffffefafffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (006201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (006201fffffffffffffffffffffdffffffd9ee7fffffffffffffffffffbffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0163c1fffffffffffffffffffffffffffffddf7ffffffffefffffffffffffffffffffe4f) ;
+SDR 281 TDI (0163c1fffffffffffffffff7ffffffffffd9ee7fffffffffffffffffeffffffffffffe4f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01e2f9fffffffffffffffffffffffffffffd7f7fffffffbffffffffffffffffffffffe7c) ;
+SDR 281 TDI (01e2f9fffffffffffffffffffffff7fffffbeefffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00e201ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff01) ;
+SDR 281 TDI (00e201ffffffffffffffffffffffffdffffbbeffffffffffffffffffffffffffffffff01) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00a3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
+SDR 281 TDI (00a3c1fffffffffffffffffffffffffffdfbbefffffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01a2f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (012201fffffffffffffffffffffffffffffd7f7fffffffffffffffffffff7ffffffffe81) ;
+SDR 281 TDI (012201ffffffffffffffffffffffffffff7dff7fffffffbffffffffffffffffffffffe81) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0023c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
+SDR 281 TDI (0023c9ffffffffffffffffffffffffff7f79be7fffffeffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0032f9fffffffffffffffffffffffffffffd7f7fffffeffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (0030fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (013201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01b3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (01b3c1fffffffffffffffdffffffffffffebfefffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00b2f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00f201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (00f205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01f3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0172f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+SDR 281 TDI (0172f9ffffffffffffff7ffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (007201ffffffffffffffffffffffffffffffe587fffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (007205ffffffffffffffffffffffffffffffe587fffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0053c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
+SDR 281 TDI (0053c9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffea4f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0152f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (0150e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01d201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) ;
+SDR 281 TDI (01d2c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00d3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (00d3c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0092f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
+SDR 281 TDI (0090e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe05) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (019201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (0192c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0113c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
+SDR 281 TDI (0113c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0012f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (0010e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (001a01fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) ;
+SDR 281 TDI (001ac5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (011bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
+SDR 281 TDI (011bc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (019af9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (0198e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (009a01fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) ;
+SDR 281 TDI (009ac5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00dbc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
+SDR 281 TDI (00dbc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01daf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (01d8e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (015a01fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) ;
+SDR 281 TDI (015ac5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (005bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
+SDR 281 TDI (005bc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (007af9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (0078e1fffffffffffffefffffffffffffffafefffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (017a01fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) ;
+SDR 281 TDI (017ac5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01fbc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (01fbc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00faf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
+SDR 281 TDI (00f8e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00ba01fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) ;
+SDR 281 TDI (00bac5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01bbc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (01bbc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (013af9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
+SDR 281 TDI (0138e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (003a01fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) ;
+SDR 281 TDI (003ac5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (002bc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (002bc9ffffffffffffffffffdfffffffffbbfefffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0128fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+SDR 281 TDI (0128ffffffffffffffffffffffffffdfffbbfefffffffffffffffffffffffffffffffe1d) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01aa01fffff7ffffffffffffffffffffffebfefffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (01aa01fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00abc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (00abc1ffffffffffffff7fffffffffffffbbfefffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00ebf9fffffffffffffffff7fffffffffffbeefffffffffffffffffffffffffffffffe1d) ;
+SDR 281 TDI (00ebf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01e8f9fffbfffffffffffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (01e8f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (016bc9fffffffffff7fffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (016bc0fffffffffffffffffffffffffffffafefffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0068e1ffffffffffffffffffffffffff7ffbbefffffffffffffffffffffffffffffffe1d) ;
+SDR 281 TDI (006af9fffff7fffffffffffffffffffffffffefafffffffffffffffffffffffffffffe1d) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (004ac5ffffffffffffffffffdffffffffffbeefffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (004a05fffffffffffffffffffffffffffdbbfefffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (014bc1ffffffff7ffffffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (014bc1ffffffffffffffffffffffffff7fbbfefffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01cbf9ffffffffffffffffffffffffdffffbeefffffffffffffffffffffffffffffffe1d) ;
+SDR 281 TDI (01cbf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00c8f8fffffffffffffffffffffffffffffafefffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (00c8f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (008bc9ffffffffffffff7ffffffffffffffbbefffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (008bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0188e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+SDR 281 TDI (018af9fffffffffffffffff7ffffffffffbbfefffffffffffffffffffffffffffffffe1d) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (010ac5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (010a05fffffffffff7fffffffffffffffefbfefffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (000bc1fffffffffffffffffffffffffffdfbbefffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (000bc1ffffffff7ffffffffffffffffffefbfefffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (000ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
RUNTEST 10000 TCK;
SDR 281 TDI (010cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (018fc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (018fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (008ce1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+SDR 281 TDI (008ef9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00cec4fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (00ce04fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01cfc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
@@ -298,17 +298,17 @@ SDR 281 TDI (014ee1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff
RUNTEST 10000 TCK;
SDR 281 TDI (004cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (006fc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (006fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (016ce1fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7d) ;
+SDR 281 TDI (016ef9fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7d) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01eec5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) ;
+SDR 281 TDI (01ee05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00efc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (00efc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00ace1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
+SDR 281 TDI (00aef9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01aec5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) ;
+SDR 281 TDI (01ae05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) ;
RUNTEST 10000 TCK;
SDR 281 TDI (012fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
@@ -328,23 +328,23 @@ SDR 281 TDI (01fff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff
RUNTEST 10000 TCK;
SDR 281 TDI (017cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (007fc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (007fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (005ce1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
+SDR 281 TDI (005ef9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (015ec5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) ;
+SDR 281 TDI (015e05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01dfc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (01dfc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00dce1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
+SDR 281 TDI (00def9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (009ec5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) ;
+SDR 281 TDI (009e05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (019fc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (019fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (011ce1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
+SDR 281 TDI (011ef9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (001ec5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) ;
+SDR 281 TDI (001e05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0017ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 10000 TCK;
@@ -385,7 +385,7 @@ ENDIR IDLE;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffffffffffffffffffffffffddff7ffffffffffbfffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -393,7 +393,7 @@ SDR 7 TDI (40) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffddf7fffffffffffffffffffbffffffffffe7f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9ffffffffffffffffffffffffffffddff7fffffffffffffbffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -401,7 +401,7 @@ SDR 7 TDI (60) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffddf7fffffffffffffffffeffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffff5ff7ffffffffffffffffffffffffffffff27c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -409,7 +409,7 @@ SDR 7 TDI (20) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffddf7ffffffffffbfffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffffffffffffffffffffffffddff7fffffffffffffffffffff7ffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -417,7 +417,7 @@ SDR 7 TDI (30) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffddf7fffffffffffffbffffffffffffffffe7f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9ffffffffffffffffffff7ffffffffbeefffffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -425,7 +425,7 @@ SDR 7 TDI (70) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffddf7fffffefbefbffbfffefbefffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffbfffffffffffffffffffffffff99e7fffffefbefbffbfffefbefffffffff27c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -433,7 +433,7 @@ SDR 7 TDI (50) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffffffffffffffdfffffffffd9ee7ffffffffefffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -441,7 +441,7 @@ SDR 7 TDI (10) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9ffffffffffffdffffffffffffffffffefafffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -449,7 +449,7 @@ SDR 7 TDI (18) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffdffffffd9ee7fffffffffffffffffffbffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -457,7 +457,7 @@ SDR 7 TDI (58) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffddf7ffffffffefffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffff7ffffffffffd9ee7fffffffffffffffffeffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -465,7 +465,7 @@ SDR 7 TDI (78) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffd7f7fffffffbffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffff7fffffbeefffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -473,7 +473,7 @@ SDR 7 TDI (38) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff01) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201ffffffffffffffffffffffffdffffbbeffffffffffffffffffffffffffffffff01) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -481,7 +481,7 @@ SDR 7 TDI (28) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffdfbbefffffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -497,7 +497,7 @@ SDR 7 TDI (48) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffd7f7fffffffffffffffffffff7ffffffffe81) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201ffffffffffffffffffffffffffff7dff7fffffffbffffffffffffffffffffffe81) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -505,7 +505,7 @@ SDR 7 TDI (08) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffffffffffffffffffffffffff7f79be7fffffeffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -513,7 +513,7 @@ SDR 7 TDI (0c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffd7f7fffffeffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -529,7 +529,7 @@ SDR 7 TDI (6c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffdffffffffffffebfefffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -545,7 +545,7 @@ SDR 7 TDI (3c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -561,7 +561,7 @@ SDR 7 TDI (5c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9ffffffffffffff7ffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -569,7 +569,7 @@ SDR 7 TDI (1c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201ffffffffffffffffffffffffffffffe587fffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205ffffffffffffffffffffffffffffffe587fffffffffffffffffffffffffffffe7c) MASK (
03fffffffffffffffffffffffffffffe001ff801ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -577,7 +577,7 @@ SDR 7 TDI (14) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffea4f) MASK (
03fffffffffffffffffffffffffffffe001f8001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -585,7 +585,7 @@ SDR 7 TDI (54) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -593,7 +593,7 @@ SDR 7 TDI (74) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -601,7 +601,7 @@ SDR 7 TDI (34) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -609,7 +609,7 @@ SDR 7 TDI (24) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe05) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -617,7 +617,7 @@ SDR 7 TDI (64) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -625,7 +625,7 @@ SDR 7 TDI (44) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -633,7 +633,7 @@ SDR 7 TDI (04) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -641,7 +641,7 @@ SDR 7 TDI (06) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -649,7 +649,7 @@ SDR 7 TDI (46) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -657,7 +657,7 @@ SDR 7 TDI (66) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -665,7 +665,7 @@ SDR 7 TDI (26) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -673,7 +673,7 @@ SDR 7 TDI (36) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -681,7 +681,7 @@ SDR 7 TDI (76) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -689,7 +689,7 @@ SDR 7 TDI (56) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -697,7 +697,7 @@ SDR 7 TDI (16) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -705,7 +705,7 @@ SDR 7 TDI (1e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffefffffffffffffffafefffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -713,7 +713,7 @@ SDR 7 TDI (5e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -721,7 +721,7 @@ SDR 7 TDI (7e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -729,7 +729,7 @@ SDR 7 TDI (3e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -737,7 +737,7 @@ SDR 7 TDI (2e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -745,7 +745,7 @@ SDR 7 TDI (6e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -753,7 +753,7 @@ SDR 7 TDI (4e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -761,7 +761,7 @@ SDR 7 TDI (0e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -769,7 +769,7 @@ SDR 7 TDI (0a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffffffffffffffffffdfffffffffbbfefffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -777,7 +777,7 @@ SDR 7 TDI (4a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00ffffffffffffffffffffffffffdfffbbfefffffffffffffffffffffffffffffffe1d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -785,7 +785,7 @@ SDR 7 TDI (6a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffff7ffffffffffffffffffffffebfefffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -793,7 +793,7 @@ SDR 7 TDI (2a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffffffffff7fffffffffffffbbfefffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -801,7 +801,7 @@ SDR 7 TDI (3a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffff7fffffffffffbeefffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -809,7 +809,7 @@ SDR 7 TDI (7a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffbfffffffffffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -817,7 +817,7 @@ SDR 7 TDI (5a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffff7fffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c0fffffffffffffffffffffffffffffafefffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -825,7 +825,7 @@ SDR 7 TDI (1a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1ffffffffffffffffffffffffff7ffbbefffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffff7fffffffffffffffffffffffffefafffffffffffffffffffffffffffffe1d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -833,7 +833,7 @@ SDR 7 TDI (12) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5ffffffffffffffffffdffffffffffbeefffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffdbbfefffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -841,7 +841,7 @@ SDR 7 TDI (52) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffff7ffffffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffffffffffffffffffffff7fbbfefffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -849,7 +849,7 @@ SDR 7 TDI (72) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffffffffffffffffffdffffbeefffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -857,7 +857,7 @@ SDR 7 TDI (32) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f8fffffffffffffffffffffffffffffafefffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -865,7 +865,7 @@ SDR 7 TDI (22) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffffffffffffff7ffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -873,7 +873,7 @@ SDR 7 TDI (62) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffff7ffffffffffbbfefffffffffffffffffffffffffffffffe1d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -881,7 +881,7 @@ SDR 7 TDI (42) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffff7fffffffffffffffefbfefffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -889,7 +889,7 @@ SDR 7 TDI (02) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffdfbbefffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffff7ffffffffffffffffffefbfefffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -913,7 +913,7 @@ SDR 7 TDI (63) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -921,7 +921,7 @@ SDR 7 TDI (23) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -929,7 +929,7 @@ SDR 7 TDI (33) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c4fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0204fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -961,7 +961,7 @@ SDR 7 TDI (1b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -969,7 +969,7 @@ SDR 7 TDI (5b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7d) MASK (
03fffffffffffffffffffffffffffffe00078001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -977,7 +977,7 @@ SDR 7 TDI (7b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -985,7 +985,7 @@ SDR 7 TDI (3b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -993,7 +993,7 @@ SDR 7 TDI (2b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1001,7 +1001,7 @@ SDR 7 TDI (6b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1081,7 +1081,7 @@ SDR 7 TDI (1f) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1089,7 +1089,7 @@ SDR 7 TDI (17) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1097,7 +1097,7 @@ SDR 7 TDI (57) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1105,7 +1105,7 @@ SDR 7 TDI (77) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1113,7 +1113,7 @@ SDR 7 TDI (37) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1121,7 +1121,7 @@ SDR 7 TDI (27) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1129,7 +1129,7 @@ SDR 7 TDI (67) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1137,7 +1137,7 @@ SDR 7 TDI (47) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1145,7 +1145,7 @@ SDR 7 TDI (07) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1266,7 +1266,7 @@ ENDIR IDLE;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffffffffffffffffffffffffddff7ffffffffffbfffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1274,7 +1274,7 @@ SDR 7 TDI (40) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffddf7fffffffffffffffffffbffffffffffe7f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9ffffffffffffffffffffffffffffddff7fffffffffffffbffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1282,7 +1282,7 @@ SDR 7 TDI (60) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffddf7fffffffffffffffffeffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffff5ff7ffffffffffffffffffffffffffffff27c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1290,7 +1290,7 @@ SDR 7 TDI (20) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffddf7ffffffffffbfffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffffffffffffffffffffffffddff7fffffffffffffffffffff7ffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1298,7 +1298,7 @@ SDR 7 TDI (30) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffddf7fffffffffffffbffffffffffffffffe7f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9ffffffffffffffffffff7ffffffffbeefffffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1306,7 +1306,7 @@ SDR 7 TDI (70) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffddf7fffffefbefbffbfffefbefffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffbfffffffffffffffffffffffff99e7fffffefbefbffbfffefbefffffffff27c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1314,7 +1314,7 @@ SDR 7 TDI (50) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffffffffffffffdfffffffffd9ee7ffffffffefffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1322,7 +1322,7 @@ SDR 7 TDI (10) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9ffffffffffffdffffffffffffffffffefafffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1330,7 +1330,7 @@ SDR 7 TDI (18) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffdffffffd9ee7fffffffffffffffffffbffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1338,7 +1338,7 @@ SDR 7 TDI (58) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffddf7ffffffffefffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffff7ffffffffffd9ee7fffffffffffffffffeffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1346,7 +1346,7 @@ SDR 7 TDI (78) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffd7f7fffffffbffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffff7fffffbeefffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1354,7 +1354,7 @@ SDR 7 TDI (38) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff01) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201ffffffffffffffffffffffffdffffbbeffffffffffffffffffffffffffffffff01) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1362,7 +1362,7 @@ SDR 7 TDI (28) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffdfbbefffffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1378,7 +1378,7 @@ SDR 7 TDI (48) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffd7f7fffffffffffffffffffff7ffffffffe81) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201ffffffffffffffffffffffffffff7dff7fffffffbffffffffffffffffffffffe81) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1386,7 +1386,7 @@ SDR 7 TDI (08) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffffffffffffffffffffffffff7f79be7fffffeffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1394,7 +1394,7 @@ SDR 7 TDI (0c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffd7f7fffffeffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1410,7 +1410,7 @@ SDR 7 TDI (6c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffdffffffffffffebfefffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1426,7 +1426,7 @@ SDR 7 TDI (3c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1442,7 +1442,7 @@ SDR 7 TDI (5c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9ffffffffffffff7ffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1450,7 +1450,7 @@ SDR 7 TDI (1c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201ffffffffffffffffffffffffffffffe587fffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205ffffffffffffffffffffffffffffffe587fffffffffffffffffffffffffffffe7c) MASK (
03fffffffffffffffffffffffffffffe001ff801ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1458,7 +1458,7 @@ SDR 7 TDI (14) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffea4f) MASK (
03fffffffffffffffffffffffffffffe001f8001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1466,7 +1466,7 @@ SDR 7 TDI (54) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1474,7 +1474,7 @@ SDR 7 TDI (74) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1482,7 +1482,7 @@ SDR 7 TDI (34) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1490,7 +1490,7 @@ SDR 7 TDI (24) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe05) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1498,7 +1498,7 @@ SDR 7 TDI (64) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1506,7 +1506,7 @@ SDR 7 TDI (44) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1514,7 +1514,7 @@ SDR 7 TDI (04) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1522,7 +1522,7 @@ SDR 7 TDI (06) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1530,7 +1530,7 @@ SDR 7 TDI (46) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1538,7 +1538,7 @@ SDR 7 TDI (66) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1546,7 +1546,7 @@ SDR 7 TDI (26) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1554,7 +1554,7 @@ SDR 7 TDI (36) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1562,7 +1562,7 @@ SDR 7 TDI (76) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1570,7 +1570,7 @@ SDR 7 TDI (56) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1578,7 +1578,7 @@ SDR 7 TDI (16) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1586,7 +1586,7 @@ SDR 7 TDI (1e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffefffffffffffffffafefffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1594,7 +1594,7 @@ SDR 7 TDI (5e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe81) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1602,7 +1602,7 @@ SDR 7 TDI (7e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1610,7 +1610,7 @@ SDR 7 TDI (3e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1618,7 +1618,7 @@ SDR 7 TDI (2e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1626,7 +1626,7 @@ SDR 7 TDI (6e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1634,7 +1634,7 @@ SDR 7 TDI (4e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1642,7 +1642,7 @@ SDR 7 TDI (0e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1650,7 +1650,7 @@ SDR 7 TDI (0a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffffffffffffffffffdfffffffffbbfefffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1658,7 +1658,7 @@ SDR 7 TDI (4a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00ffffffffffffffffffffffffffdfffbbfefffffffffffffffffffffffffffffffe1d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1666,7 +1666,7 @@ SDR 7 TDI (6a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffff7ffffffffffffffffffffffebfefffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0201fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1674,7 +1674,7 @@ SDR 7 TDI (2a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffffffffff7fffffffffffffbbfefffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1682,7 +1682,7 @@ SDR 7 TDI (3a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffff7fffffffffffbeefffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1690,7 +1690,7 @@ SDR 7 TDI (7a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffbfffffffffffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1698,7 +1698,7 @@ SDR 7 TDI (5a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffff7fffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c0fffffffffffffffffffffffffffffafefffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1706,7 +1706,7 @@ SDR 7 TDI (1a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1ffffffffffffffffffffffffff7ffbbefffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffff7fffffffffffffffffffffffffefafffffffffffffffffffffffffffffe1d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1714,7 +1714,7 @@ SDR 7 TDI (12) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5ffffffffffffffffffdffffffffffbeefffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffdbbfefffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1722,7 +1722,7 @@ SDR 7 TDI (52) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffff7ffffffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffffffffffffffffffffff7fbbfefffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1730,7 +1730,7 @@ SDR 7 TDI (72) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffffffffffffffffffdffffbeefffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1738,7 +1738,7 @@ SDR 7 TDI (32) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f8fffffffffffffffffffffffffffffafefffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1746,7 +1746,7 @@ SDR 7 TDI (22) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffffffffffffff7ffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1754,7 +1754,7 @@ SDR 7 TDI (62) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffff7ffffffffffbbfefffffffffffffffffffffffffffffffe1d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1762,7 +1762,7 @@ SDR 7 TDI (42) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffff7fffffffffffffffefbfefffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1770,7 +1770,7 @@ SDR 7 TDI (02) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffdfbbefffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffff7ffffffffffffffffffefbfefffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1794,7 +1794,7 @@ SDR 7 TDI (63) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1802,7 +1802,7 @@ SDR 7 TDI (23) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1810,7 +1810,7 @@ SDR 7 TDI (33) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c4fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0204fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1842,7 +1842,7 @@ SDR 7 TDI (1b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1850,7 +1850,7 @@ SDR 7 TDI (5b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7d) MASK (
03fffffffffffffffffffffffffffffe00078001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1858,7 +1858,7 @@ SDR 7 TDI (7b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1866,7 +1866,7 @@ SDR 7 TDI (3b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1874,7 +1874,7 @@ SDR 7 TDI (2b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1882,7 +1882,7 @@ SDR 7 TDI (6b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1962,7 +1962,7 @@ SDR 7 TDI (1f) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1970,7 +1970,7 @@ SDR 7 TDI (17) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1978,7 +1978,7 @@ SDR 7 TDI (57) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1986,7 +1986,7 @@ SDR 7 TDI (77) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1994,7 +1994,7 @@ SDR 7 TDI (37) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -2002,7 +2002,7 @@ SDR 7 TDI (27) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -2010,7 +2010,7 @@ SDR 7 TDI (67) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -2018,7 +2018,7 @@ SDR 7 TDI (47) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -2026,7 +2026,7 @@ SDR 7 TDI (07) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe01) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
diff --git a/hardware/jellybean/sgpio_if/default.xsvf b/hardware/jellybean/sgpio_if/default.xsvf
index 2a005f20..c7753fe1 100755
Binary files a/hardware/jellybean/sgpio_if/default.xsvf and b/hardware/jellybean/sgpio_if/default.xsvf differ
diff --git a/hardware/jellybean/sgpio_if/sgpio_if.xise b/hardware/jellybean/sgpio_if/sgpio_if.xise
index 82383b2e..82c5ad11 100755
--- a/hardware/jellybean/sgpio_if/sgpio_if.xise
+++ b/hardware/jellybean/sgpio_if/sgpio_if.xise
@@ -9,22 +9,22 @@
-
+
-
+
-
-
-
-
+
+
+
+
@@ -97,6 +97,9 @@
+
+
+
@@ -200,6 +203,7 @@
+
diff --git a/hardware/jellybean/sgpio_if/top.jed b/hardware/jellybean/sgpio_if/top.jed
index 30d2f233..2e7c3485 100755
--- a/hardware/jellybean/sgpio_if/top.jed
+++ b/hardware/jellybean/sgpio_if/top.jed
@@ -1,5 +1,5 @@
Programmer Jedec Bit Map
-Date Extracted: Thu Feb 14 11:55:50 2013
+Date Extracted: Wed Apr 03 20:29:28 2013
QF25812*
QP100*
@@ -7,7 +7,7 @@ QV0*
F0*
X0*
J0 0*
-N VERSION O.87xd*
+N VERSION P.15xf*
N DEVICE XC2C64A-7-VQ100*
Note Block 0 *
@@ -16,21 +16,21 @@ L000000 1111111111111111*
L000016 1111111111111111*
L000032 1111111111111111*
L000048 1111111111111111*
-L000064 1111111111111111*
-L000080 1111111111111111*
-L000096 1111111111111111*
-L000112 1111111111111111*
-L000128 1111111111111111*
-L000144 1111111111111111*
-L000160 1111111111111111*
-L000176 1111111111111111*
-L000192 1111111111111111*
+L000064 1111111010110111*
+L000080 1111111011010111*
+L000096 1111111010110111*
+L000112 1110011011111111*
+L000128 1111111010110111*
+L000144 1111111010110111*
+L000160 1111111010110111*
+L000176 1111111011010111*
+L000192 1111111011010111*
L000208 1111111111111111*
L000224 1111111111111111*
-L000240 1111111111111111*
+L000240 1111111011010111*
L000256 1111111111111111*
L000272 1111111111111111*
-L000288 1111111111111111*
+L000288 1111111011110011*
L000304 1111111111111111*
L000320 1111111111111111*
L000336 1111111111111111*
@@ -44,7 +44,7 @@ L000448 1111111111111111*
L000464 1111111111111111*
L000480 1111111111111111*
L000496 1111111111111111*
-L000512 1111111111111111*
+L000512 1111111011100111*
L000528 1111111111111111*
L000544 1111111111111111*
L000560 1111111111111111*
@@ -61,7 +61,7 @@ L000880 111111111111111111111111111111111111111111111111111111111111111111111111
L000960 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L001040 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L001120 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L001200 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L001200 11111111111011111111111111111111111111111111111111111111111111111111111111111111*
L001280 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L001360 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L001440 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
@@ -79,37 +79,37 @@ L002320 111111111111111111111111111111111111111111111111111111111111111111111111
L002400 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L002480 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L002560 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L002640 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L002640 11111111111111011111111111111111111111111111111111111111111111111111111111111111*
L002720 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L002800 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L002880 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L002880 11111111111111111111111111111111111111111111111111111111111111111011111111111111*
L002960 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L003040 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L003120 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L003120 11111111111111111111111111111111111101111111111111111111111111111111111111111111*
L003200 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L003280 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L003360 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L003360 11111111111111111101111111111111111111111111111111111111111111111111111111111111*
L003440 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L003520 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L003600 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L003600 11111111111101111111111111111111111111111111111111111111111111111111111111111111*
L003680 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L003760 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L003840 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L003840 11111111011111111111111111111111111111111111111111111111111111111111111111111111*
L003920 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L004000 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L004080 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L004080 11111111111111110111111111111111111111111111111111111111111111111111111111111111*
L004160 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L004240 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L004320 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L004320 11111111111111111111011111111111111111111111111111111111111111111111111111111111*
L004400 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L004480 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L004560 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L004560 11111111111111111111110111111111111111111111111111111111111111111111111111111111*
L004640 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L004720 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L004800 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L004800 11111111111111111111111111111101111111111111111111111111111111111111111111111111*
L004880 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L004960 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L005040 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L005040 11111111111111111111111101111111111111111111111111111111111111111111111111111111*
Note Block 0 PLA OR array *
L005120 1111111111111111*
@@ -140,7 +140,7 @@ L005504 1111111111111111*
L005520 1111111111111111*
L005536 1111111111111111*
L005552 1111111111111111*
-L005568 1111111111111111*
+L005568 1111101111111111*
L005584 1111111111111111*
L005600 1111111111111111*
L005616 1111111111111111*
@@ -176,37 +176,37 @@ L006043 000001111001111100010000001*
L006070 000001111001111100010000001*
L006097 000001111001111100010000001*
L006124 000001111001111100010000001*
-L006151 000001111001111100010000001*
-L006178 000001111001111100010000001*
-L006205 000001111001111100010000001*
-L006232 000001111001111100010000001*
-L006259 000001111001111100010000001*
-L006286 000001111001111100010000001*
-L006313 000001111001111100010000001*
-L006340 000001111001111100010000001*
-L006367 000001111001111100010000001*
-L006394 000001111001111100010000001*
-L006421 000001111001111100010000001*
+L006151 000101111111111100000000001*
+L006178 000001111001111101010000001*
+L006205 000001111001111101010000001*
+L006232 000101111000011101001000101*
+L006259 000101111000011101001000101*
+L006286 000101111000011101001000101*
+L006313 000101111000011101001000101*
+L006340 000101111000011101001000101*
+L006367 000101111000011101001000101*
+L006394 000101111000011101001000101*
+L006421 000101111000011101001000101*
Note Block 1 *
Note Block 1 ZIA *
-L006448 1111111111111111*
-L006464 1111111011010111*
-L006480 1111111011010111*
-L006496 1111111011010111*
-L006512 1111111011010111*
+L006448 1111111011110101*
+L006464 1111111011110101*
+L006480 1111111011110011*
+L006496 1111111011110101*
+L006512 1111111111111111*
L006528 1111111011010111*
-L006544 1111111111111111*
+L006544 1111111011110101*
L006560 1111111111111111*
-L006576 1111111111111111*
-L006592 1111111011010111*
-L006608 1111111011100111*
+L006576 1111111011110101*
+L006592 1111111011110101*
+L006608 1111111111111111*
L006624 1111111111111111*
L006640 1111111111111111*
L006656 1111111111111111*
-L006672 1111111011100111*
-L006688 1111111111111111*
-L006704 1111111011100111*
+L006672 1111111011110110*
+L006688 1111111011110110*
+L006704 1111111111111111*
L006720 1111111111111111*
L006736 1111111111111111*
L006752 1111111111111111*
@@ -232,8 +232,8 @@ L007056 1111111111111111*
L007072 1111111111111111*
Note Block 1 PLA AND array *
-L007088 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L007168 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L007088 11110111110111111111111111111111111111111111111111111111111111111111111111111111*
+L007168 11111011111011111111111111111111111111111111111111111111111111111111111111111111*
L007248 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L007328 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L007408 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
@@ -251,13 +251,13 @@ L008288 111111111111111111111111111111111111111111111111111111111111111111111111
L008368 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L008448 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L008528 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L008608 11111111110111111111111111111011111111111111111111111111111111111111111111111111*
+L008608 11111110110111111111111111111111111111111111111111111111111111111111111111111111*
L008688 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L008768 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L008848 11011111110111111111111111111111111111111111111111111111111111111111111111111111*
+L008848 11111111110111110111111111111111111111111111111111111111111111111111111111111111*
L008928 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L009008 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L009088 11110111110111111111111111111111111111111111111111111111111111111111111111111111*
+L009088 11111111110111111101111111111111111111111111111111111111111111111111111111111111*
L009168 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L009248 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L009328 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
@@ -266,22 +266,22 @@ L009488 111111111111111111111111111111111111111111111111111111111111111111111111
L009568 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L009648 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L009728 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L009808 11111111010111111111111111111111111111111111111111111111111111111111111111111111*
+L009808 11011111110111111111111111111111111111111111111111111111111111111111111111111111*
L009888 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L009968 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L010048 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L010128 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L010208 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L010288 11111101110111111111111111111111111111111111111111111111111111111111111111111111*
+L010288 01111111110111111111111111111111111111111111111111111111111111111111111111111111*
L010368 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L010448 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L010528 11111111110111111101111111111111111111111111111111111111111111111111111111111111*
+L010528 11111111110101111111111111111111111111111111111111111111111111111111111111111111*
L010608 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L010688 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L010768 11111111110111111111011111111111111111111111111111111111111111111111111111111111*
+L010768 11111111110111111111111111110111111111111111111111111111111111111111111111111111*
L010848 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L010928 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L011008 11111111110111111111111111111111011111111111111111111111111111111111111111111111*
+L011008 11111111110111111111111111111101111111111111111111111111111111111111111111111111*
L011088 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L011168 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L011248 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
@@ -290,8 +290,8 @@ L011408 111111111111111111111111111111111111111111111111111111111111111111111111
L011488 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
Note Block 1 PLA OR array *
-L011568 1111111111111111*
-L011584 1111111111111111*
+L011568 1111111110111111*
+L011584 1111111110111111*
L011600 1111111111111111*
L011616 1111111111111111*
L011632 1111111111111111*
@@ -358,7 +358,7 @@ L012599 000101111001111101000000001*
L012626 000001111001111110011111100*
L012653 000001111000011100011111100*
L012680 000101111001111101000000001*
-L012707 000001111001111100011111100*
+L012707 000001111000000100011111101*
L012734 000101111001111101000000001*
L012761 000101111001111101000000001*
L012788 000101111001111101000000001*
@@ -368,22 +368,22 @@ L012869 000001111001111100010000001*
Note Block 2 *
Note Block 2 ZIA *
-L012896 1111111111111111*
-L012912 1111111111111111*
-L012928 1111111011110011*
-L012944 1111111111111111*
-L012960 1111111010110111*
-L012976 1111111011010111*
-L012992 1111111010110111*
-L013008 1111111011010111*
-L013024 1111111010110111*
-L013040 1111111010110111*
-L013056 1111111010110111*
-L013072 1111111011100111*
-L013088 1111111011010111*
-L013104 1111111111111111*
-L013120 1111111111111111*
-L013136 1111111011010111*
+L012896 1111111011110101*
+L012912 1111111011110101*
+L012928 1111111111111111*
+L012944 1111111011110101*
+L012960 1111111111111111*
+L012976 1111111111111111*
+L012992 1111111011100111*
+L013008 1110011011111111*
+L013024 1111111011110101*
+L013040 1111111011110101*
+L013056 1111111111111111*
+L013072 1111111111111111*
+L013088 1111111111111111*
+L013104 1111111011110101*
+L013120 1111111011110110*
+L013136 1111111011110110*
L013152 1111111111111111*
L013168 1111111111111111*
L013184 1111111111111111*
@@ -410,44 +410,44 @@ L013504 1111111111111111*
L013520 1111111111111111*
Note Block 2 PLA AND array *
-L013536 11111111111111111111111011111111111111111111111111111111111111111111111111111111*
+L013536 11111111111110111111111111111111111111111111111111111111111111111111111111111111*
L013616 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L013696 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L013776 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L013856 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L013936 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L014016 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L014096 11111111111011111111111111111111111111111111111111111111111111111111111111111111*
+L014096 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L014176 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L014256 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L014336 11110111111111111111111111111111111111111111111111111111111111111111111111111111*
+L014336 11111111111111011111111111111111111111111111111111111111111111111111111111111111*
L014416 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L014496 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L014576 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L014656 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L014736 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L014816 11111111111111111101111111111111111111111111111111111111111111111111111111111111*
+L014816 11111111111111111111111111111101111111111111111111111111111111111111111111111111*
L014896 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L014976 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L015056 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L015136 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L015216 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L015296 11111111111101111111111111111111111111111111111111111111111111111111111111111111*
+L015296 11111111111111111111111111110111111111111111111111111111111111111111111111111111*
L015376 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L015456 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L015536 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L015616 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L015696 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L015776 11111111111111111111111101111111111111111111111111111111111111111111111111111111*
+L015776 11111101111111111111111111111111111111111111111111111111111111111111111111111111*
L015856 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L015936 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L016016 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L016096 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L016176 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L016256 11111111011111111111111111111111111111111111111111111111111111111111111111111111*
+L016256 11111111111111111111111111011111111111111111111111111111111111111111111111111111*
L016336 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L016416 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L016496 11111111111111110111111111111111111111111111111111111111111111111111111111111111*
+L016496 01111111111111111111111111111111111111111111111111111111111111111111111111111111*
L016576 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L016656 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L016736 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
@@ -459,13 +459,13 @@ L017136 111111111111111111111111111111111111111111111111111111111111111111111111
L017216 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L017296 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L017376 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L017456 11111111111111111111011111111111111111111111111111111111111111111111111111111111*
+L017456 11011111111111111111111111111111111111111111111111111111111111111111111111111111*
L017536 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L017616 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L017696 11111111111111011111111111111111111111111111111111111111111111111111111111111111*
+L017696 11111111111111111101111111111111111111111111111111111111111111111111111111111111*
L017776 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L017856 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L017936 11111111111111111111111111111101111111111111111111111111111111111111111111111111*
+L017936 11111111111111110111111111111111111111111111111111111111111111111111111111111111*
Note Block 2 PLA OR array *
L018016 0111111111111111*
@@ -529,20 +529,20 @@ Note Block 2 I/O Macrocell Configuration 27 bits *
N Aclk ClkOp Clk:2 ClkFreq R:2 P:2 RegMod:2 INz:2 FB:2 InReg St XorIn:2 RegCom Oe:4 Tm Slw Pu*
L018912 000101111111111100000000001*
L018939 000001111001111110011111100*
-L018966 000101111000011101001000101*
+L018966 000001111001111101010000001*
L018993 000001111001111110011111100*
-L019020 000101111000011101001000101*
+L019020 000001111001111101010000001*
L019047 000001111001111110011111100*
-L019074 000101111000011101001000101*
+L019074 000001111001111101010000001*
L019101 000001111000011100011111100*
-L019128 000101111000011101001000101*
-L019155 000101111000011101001000101*
+L019128 000001111001111101010000001*
+L019155 000001111001111101010000001*
L019182 000001111000011100011111100*
L019209 000001111001111110011111100*
L019236 000001111001111110011111100*
-L019263 000101111000011101001000101*
-L019290 000101111000011101001000101*
-L019317 000101111000011101001000101*
+L019263 000001111001111101010000001*
+L019290 000001111001111101010000001*
+L019317 000001111001111101010000001*
Note Block 3 *
Note Block 3 ZIA *
@@ -753,5 +753,5 @@ L025810 0*
Note I/O Bank 1 Vcco *
L025811 0*
-C0AA3*
-D0B9
+CFE0C*
+D09F
diff --git a/hardware/jellybean/sgpio_if/top.vhd b/hardware/jellybean/sgpio_if/top.vhd
index 31a61d0d..bb7075ae 100755
--- a/hardware/jellybean/sgpio_if/top.vhd
+++ b/hardware/jellybean/sgpio_if/top.vhd
@@ -1,22 +1,23 @@
--
--- Copyright 2012 Jared Boone
---
--- This file is part of HackRF.
---
--- This program is free software; you can redistribute it and/or modify
--- it under the terms of the GNU General Public License as published by
--- the Free Software Foundation; either version 2, or (at your option)
--- any later version.
---
--- This program is distributed in the hope that it will be useful,
--- but WITHOUT ANY WARRANTY; without even the implied warranty of
--- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
--- GNU General Public License for more details.
---
--- You should have received a copy of the GNU General Public License
--- along with this program; see the file COPYING. If not, write to
--- the Free Software Foundation, Inc., 51 Franklin Street,
--- Boston, MA 02110-1301, USA.
+-- Copyright 2012 Jared Boone
+-- Copyright 2013 Benjamin Vernoux
+--
+-- This file is part of HackRF.
+--
+-- This program is free software; you can redistribute it and/or modify
+-- it under the terms of the GNU General Public License as published by
+-- the Free Software Foundation; either version 2, or (at your option)
+-- any later version.
+--
+-- This program is distributed in the hope that it will be useful,
+-- but WITHOUT ANY WARRANTY; without even the implied warranty of
+-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+-- GNU General Public License for more details.
+--
+-- You should have received a copy of the GNU General Public License
+-- along with this program; see the file COPYING. If not, write to
+-- the Free Software Foundation, Inc., 51 Franklin Street,
+-- Boston, MA 02110-1301, USA.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
@@ -62,7 +63,7 @@ architecture Behavioral of top is
begin
B1AUX <= (others => '0');
- B2AUX <= (others => '0');
+ --B2AUX <= (others => '0');
------------------------------------------------
-- Codec interface
@@ -93,6 +94,7 @@ begin
transfer_direction_i <= to_dac when HOST_DIRECTION = '1'
else from_adc;
+ B2AUX <= HOST_DATA & host_clk_i & host_data_enable_i & host_data_capture_o & "00000";
------------------------------------------------
process(host_clk_i)
@@ -116,9 +118,15 @@ begin
process(host_clk_i, codec_clk_i)
begin
if rising_edge(host_clk_i) then
- if codec_clk_i = '1' then
- host_data_capture_o <= host_data_enable_i;
- end if;
+ if transfer_direction_i = to_dac then
+ if codec_clk_i = '1' then
+ host_data_capture_o <= host_data_enable_i;
+ end if;
+ else
+ if codec_clk_i = '0' then
+ host_data_capture_o <= host_data_enable_i;
+ end if;
+ end if;
end if;
end process;