diff --git a/firmware/common/sgpio.c b/firmware/common/sgpio.c
index c128a74e..04303b87 100644
--- a/firmware/common/sgpio.c
+++ b/firmware/common/sgpio.c
@@ -157,7 +157,7 @@ void sgpio_configure(
const uint_fast8_t pos = config->slice_mode_multislice ? 0x1f : 0x03;
const bool single_slice = !config->slice_mode_multislice;
const uint_fast8_t slice_count = config->slice_mode_multislice ? 8 : 1;
- const uint_fast8_t clk_capture_mode = (direction == SGPIO_DIRECTION_TX) ? 0 : 1;
+ const uint_fast8_t clk_capture_mode = (direction == SGPIO_DIRECTION_TX) ? 0 : 0;
uint32_t slice_enable_mask = 0;
/* Configure Slice A, I, E, J, C, K, F, L (sgpio_slice_mode_multislice mode) */
diff --git a/firmware/common/si5351c.c b/firmware/common/si5351c.c
index a217d6d5..64a3dda1 100644
--- a/firmware/common/si5351c.c
+++ b/firmware/common/si5351c.c
@@ -185,8 +185,8 @@ void si5351c_configure_clock_control(si5351c_driver_t* const drv, const enum pll
/* Clock to CPU is deactivated as it is not used and creates noise */
/* External clock output is deactivated as it is not used and creates noise */
uint8_t data[] = {16
- ,SI5351C_CLK_FRAC_MODE | SI5351C_CLK_PLL_SRC(pll) | SI5351C_CLK_SRC(SI5351C_CLK_SRC_MULTISYNTH_SELF) | SI5351C_CLK_IDRV(SI5351C_CLK_IDRV_2MA)
- ,SI5351C_CLK_INT_MODE | SI5351C_CLK_PLL_SRC(pll) | SI5351C_CLK_SRC(SI5351C_CLK_SRC_MULTISYNTH_0_4) | SI5351C_CLK_IDRV(SI5351C_CLK_IDRV_2MA)
+ ,SI5351C_CLK_FRAC_MODE | SI5351C_CLK_PLL_SRC(pll) | SI5351C_CLK_SRC(SI5351C_CLK_SRC_MULTISYNTH_SELF) | SI5351C_CLK_IDRV(SI5351C_CLK_IDRV_8MA)
+ ,SI5351C_CLK_INT_MODE | SI5351C_CLK_PLL_SRC(pll) | SI5351C_CLK_SRC(SI5351C_CLK_SRC_MULTISYNTH_0_4) | SI5351C_CLK_IDRV(SI5351C_CLK_IDRV_2MA) | SI5351C_CLK_INV
,SI5351C_CLK_INT_MODE | SI5351C_CLK_PLL_SRC(pll) | SI5351C_CLK_SRC(SI5351C_CLK_SRC_MULTISYNTH_0_4) | SI5351C_CLK_IDRV(SI5351C_CLK_IDRV_2MA)
,SI5351C_CLK_POWERDOWN | SI5351C_CLK_INT_MODE /*not connected, but: plla int mode*/
,SI5351C_CLK_INT_MODE | SI5351C_CLK_PLL_SRC(pll) | SI5351C_CLK_SRC(SI5351C_CLK_SRC_MULTISYNTH_SELF) | SI5351C_CLK_IDRV(SI5351C_CLK_IDRV_6MA) | SI5351C_CLK_INV
diff --git a/firmware/cpld/sgpio_if/Makefile b/firmware/cpld/sgpio_if/Makefile
new file mode 100644
index 00000000..32967053
--- /dev/null
+++ b/firmware/cpld/sgpio_if/Makefile
@@ -0,0 +1,47 @@
+# xst -intstyle ise -ifn top.xst -ofn top.syr
+# ngdbuild -intstyle ise -dd _ngo -uc top.ucf -p xc2c64a-VQ100-7 top.ngc top.ngd
+# cpldfit -intstyle ise -p xc2c64a-7-VQ100 -ofmt vhdl -optimize density -loc on -slew slow -init low -inputs 32 -pterms 28 -unused pullup -terminate float -iostd LVCMOS33 top.ngd
+# tsim -intstyle ise top top.nga
+# taengine -intstyle ise -f top -l top.tim -e {taengine.err}
+# hprep6 -s IEEE1149 -i top
+# vhdtdtfi -prj sgpio_if -o top.vhi -module top -template /opt/Xilinx/14.7/ISE_DS/ISE/data/vhdlinst.tft -deleteonerror -lib work top.vhd
+
+DESIGN=top
+
+DEVICE=xc2c64a
+DEVICE_SPEED=7
+DEVICE_PACKAGE=VQ100
+
+OUTFILES_XST=$(DESIGN).ngc $(DESIGN).syr $(DESIGN).ngr $(DESIGN)_xst.xrpt $(DESIGN).lso _xmsgs/xst.xmsgs
+OUTFILES_NGDBUILD=$(DESIGN).ngd $(DESIGN).bld $(DESIGN)_ngdbuild.xrpt _xmsgs/ngdbuild.xmsgs _ngo/netlist.lst xlnx_auto_0_xdb/cst.xbcd
+OUTFILES_CPLDFIT=$(DESIGN).vm6 $(DESIGN).tspec t6.phd t6.dat t55.phd t55.dat t1.phd t1.dat $(DESIGN).log $(DESIGN).chk $(DESIGN).rpt $(DESIGN).xml $(DESIGN)_build.xml $(DESIGN).pad $(DESIGN)_pad.csv $(DESIGN).pnx $(DESIGN).mfd $(DESIGN).cxt $(DESIGN).gyd _xmsgs/cpldfit.xmsgs
+OUTFILES_HPREP6=$(DESIGN).jed tmperr.err _xmsgs/hprep6.xmsgs
+OUTFILES_IMPACT_SVF=default.svf _impactbatch.log
+OUTFILES_IMPACT_XSVF=default.xsvf _impactbatch.log
+
+all: default.svf default.xsvf
+
+$(DESIGN).ngc: $(DESIGN).xst $(DESIGN).prj
+ mkdir -p xst/projnav.tmp
+ xst -intstyle ise -ifn $(DESIGN).xst -ofn $(DESIGN).syr
+
+$(DESIGN).ngd: $(DESIGN).ngc $(DESIGN).ucf
+ ngdbuild -intstyle ise -dd _ngo -uc $(DESIGN).ucf -p $(DEVICE)-$(DEVICE_PACKAGE)-$(DEVICE_SPEED) $(DESIGN).ngc $(DESIGN).ngd
+
+$(DESIGN).vm6: $(DESIGN).ngd
+ cpldfit -intstyle ise -p $(DEVICE)-$(DEVICE_SPEED)-$(DEVICE_PACKAGE) -ofmt vhdl -optimize speed -loc on -slew slow -init low -inputs 32 -pterms 36 -unused pullup -terminate float -iostd LVCMOS33 $(DESIGN).ngd
+
+$(DESIGN).jed: $(DESIGN).vm6
+ hprep6 -s IEEE1149 -i $(DESIGN).vm6
+
+default.svf: $(DESIGN).jed batch_svf
+ impact -batch batch_svf
+
+default.xsvf: $(DESIGN).jed batch_xsvf
+ impact -batch batch_xsvf
+
+.PHONY: clean
+
+clean:
+ rm -f $(OUTFILES_XST) $(OUTFILES_NGDBUILD) $(OUTFILES_CPLDFIT) $(OUTFILES_HPREP6) $(OUTFILES_IMPACT_SVF) $(OUTFILES_IMPACT_XSVF)
+ rm -rf xlnx_auto_0_xdb/ _ngo/ _xmsgs/ xst/
diff --git a/firmware/cpld/sgpio_if/batch_svf b/firmware/cpld/sgpio_if/batch_svf
new file mode 100755
index 00000000..35f57ccc
--- /dev/null
+++ b/firmware/cpld/sgpio_if/batch_svf
@@ -0,0 +1,7 @@
+setMode -bscan
+setCable -port svf -file default.svf
+addDevice -p 1 -file top.jed
+Erase -p 1
+Program -p 1 -e -v
+Verify -p 1
+quit
diff --git a/firmware/cpld/sgpio_if/batch_xsvf b/firmware/cpld/sgpio_if/batch_xsvf
new file mode 100755
index 00000000..70612016
--- /dev/null
+++ b/firmware/cpld/sgpio_if/batch_xsvf
@@ -0,0 +1,7 @@
+setMode -bscan
+setCable -port xsvf -file default.xsvf
+addDevice -p 1 -file top.jed
+Erase -p 1
+Program -p 1 -e -v
+Verify -p 1
+quit
diff --git a/firmware/cpld/sgpio_if/default.svf b/firmware/cpld/sgpio_if/default.svf
index 202d985c..2f98c5a9 100644
--- a/firmware/cpld/sgpio_if/default.svf
+++ b/firmware/cpld/sgpio_if/default.svf
@@ -1,5 +1,5 @@
// Created using Xilinx Cse Software [ISE - 14.7]
-// Date: Tue Jan 15 14:51:59 2019
+// Date: Mon Jan 21 16:18:31 2019
TRST OFF;
ENDIR IDLE;
@@ -156,195 +156,195 @@ SIR 8 TDI (ea) ;
SDR 281 TDI (0003c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) SMASK (01ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
ENDIR IDLE;
RUNTEST 10000 TCK;
-SDR 281 TDI (0103f9ffffffffffffffffffffffffffffffff777efbffffffffffffffffff777777767f) ;
+SDR 281 TDI (0103f9fffffffffffffffff7fffffffffffbbe777fffffffffffffffffffffffffffba7f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0180f9dfffffffffffffffffffffffffffe9de7fffffffffffffffffffffffff3ffffe7c) ;
+SDR 281 TDI (0180f9ffffffffffffffffffdffffffffffbbe777ffffffffffffffff7fffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0083c1fffffffffffffffffffffffffffffddf7fffffffffffffffffffffffffff3ffe0f) ;
+SDR 281 TDI (0083c1fffffffffffffffffffffdfffffffbbe777ffffffffdfffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00c3f9fffffffffffffffffffffffffffffddf7ffffffffffffffffffffffffff3fffe7f) ;
+SDR 281 TDI (00c3f9ffffffffffffffffffff7ffffffffbbefffffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01c0f8fffffffffffffffffffffffffffff99e7fffffffffffffffffffffffbbbbbbba7c) ;
+SDR 281 TDI (01c0f9fffffffffffffffffffffffffffffddf7fffffefbefbffbfffefbefffffffff27c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0143c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (0143c1ffffffffffffffffffffffffffffffff777fffffdffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0043f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0060f9dffffffffffffffffffffffffffff99e7fffffffffffffffffffffffbbbbbbba7c) ;
+SDR 281 TDI (0060f9ffffffffffffffffffffffffffffffff777ffff7fffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0163c1fffffffffffffffffffffffffffffddf7ffffffffffffffffffffffffffff3fe4f) ;
+SDR 281 TDI (0163c1fffffffffffffffffffffff7fffffbbe777fffffffffffdffffffffffffffffecf) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01e3f9fffffffffffffffffffffffffffffd7f7fffffffffffffffffffffffffffff3e7c) ;
+SDR 281 TDI (01e3f9ffffffffffffffffffffffffdffffafe777fffffff7ffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00e0f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe03) ;
+SDR 281 TDI (00e0f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00a3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
+SDR 281 TDI (00a3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01a3f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (01a3f9ffffffffffffffffffffffffffffffff5f7fffffffffffffffffff7ffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0120f9fffffffffffffffffffffffffffffd7f7fffffffffffffffffffffff3fffffff83) ;
+SDR 281 TDI (0120f9fffffffffffffffdfffffffffffffafeffffffffffffffffffffffffffffffff03) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0023c1fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffbbbbbbba4f) ;
+SDR 281 TDI (0023c1fffffffffffffffffffffffffffdebfefffffffffffffffffffffffffffffffecf) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0033f9fffffffffffffffffffffffffffffd7f7ffffffffffffffffffffffffffffff27c) ;
+SDR 281 TDI (0033f9ffffffffffffffffffffffffff7ffafe5f7fffffffffffffffffdffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0130f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff83) ;
+SDR 281 TDI (0130f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff03) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01b3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (01b3c1fffffffffffffffffffffffffffffddf7fffffffffffffffffffffffffffffba0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00b3f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00f0f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01f3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffebffffffe0f) ;
+SDR 281 TDI (01f3cdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffa4f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0173f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffff4bffffe1d) ;
+SDR 281 TDI (0173d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff809) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0070f9ffffffffffffffffffffffffffffffe587fffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (0072fdffffffffffffffffffffffffffffffe607fffffffffffffffffffffffffffffe79) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0053c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffff5fffe4f) ;
+SDR 281 TDI (0053cdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffccf) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0153f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff4bfe7c) ;
+SDR 281 TDI (0153d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff87c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01d0f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff4b83) ;
+SDR 281 TDI (01d2fdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff903) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00d3c15ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (00d3cdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff860f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0093f9fffffffffffffffffffffffffffffddf7ffffffffffffffffffffffff3fffffe7d) ;
+SDR 281 TDI (0093d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0190f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (0192fdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0113c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
+SDR 281 TDI (0113cdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0013f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (0013d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0018f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff83) ;
+SDR 281 TDI (001afdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff03) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (011bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
+SDR 281 TDI (011bcdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (019bf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (019bd9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0098f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff83) ;
+SDR 281 TDI (009afdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff03) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00dbc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
+SDR 281 TDI (00dbcdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01dbf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (01dbd9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0158f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff83) ;
+SDR 281 TDI (015afdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff03) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (005bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
+SDR 281 TDI (005bcdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (007bf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (007bd9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0178f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff83) ;
+SDR 281 TDI (017afdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff03) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01fbc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
+SDR 281 TDI (01fbcdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00fbf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (00fbd9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00b8f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) ;
+SDR 281 TDI (00bafdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe03) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01bbc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
+SDR 281 TDI (01bbcdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (013b99fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (013bd9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (003af9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) ;
+SDR 281 TDI (003afdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe03) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (002bc8bffffffffffffffffffffffffffffafefffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (002bc9fffffffffffffffff7fffffffffffffefefbfffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0128d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+SDR 281 TDI (0128d9fffffffffff7fffffffffffffffffffefefbfffffffffffffffffffffffffffe69) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01ab01332ef7ffffffffffffffffffffffebfefffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (01ab01ffffffff7ffffffffffffffffffffffefefbfffffffffffffffffffffffffffe79) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00abc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (00abc1fffffffffffffefffffffffffffffffefeeffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00eae1fffffffffffffffff7fffffffffffbeefffffffffffffffffffffffffffffffe1d) ;
+SDR 281 TDI (00eae1ffffffffffffffffffffffffdffffffefeeffffffffffffffffffffffffffffe69) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01e8f932dbfffffffffffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (01e879332b5554ffeffeffefbfffffbefbfbbefffffffffffffffffffffffffffffffe79) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (016bc9fffffffffff7fffffffffffffffff96e7ffffff7ffffffffffffffffffffeffe0f) ;
+SDR 281 TDI (016bc9fffffff7fffffffffffffffffffffd7e7eeffff7ffffffffffffffffffffeffe4f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0068e1f5fffffffffffffffffffffffffffdf67efbfffffffffffffffffffffffffbfe1d) ;
+SDR 281 TDI (0068c1f5fffffffffffffffffffffffffffdf67efbfffffffffffffffffffffffffbfe69) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (004bc5ffffffffffffffffffdffffffffffbeefffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (004b45ffeffffffffffffffffffffffffffbbefffffffffffffffffffffffffffffffe79) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (014bc1ffffffff7ffffffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (014bc1fffffffffffffffffffffffffffdfffefeeffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01cbf9ffffffffffffffffffffffffdffffbeefffffffffffffffffffffffffffffffe1d) ;
+SDR 281 TDI (01cbf9fffffffdfffffffffffffffffffffffefebffffffffffffffffffffffffffffe69) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00c8f9ffffffffffffffffffffffffff7ffbbefffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (00c8f9ddaffffffffffffffffffffffffffffefafffffffffffffffffffffffffffffe79) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (008bc9ffff2ffffffffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (008bc9ffffffffffffffffffdffffffffffffefeeffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (0188e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+SDR 281 TDI (0188c1ffffffffffffffffffffffffff7ffffefeeffffffffffffffffffffffffffffe69) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (010bc5ff5ffffffffffffffffffffffffffffefebffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (010b45ff5ffffffffffffffffffffffffffffefebffffffffffffffffffffffffffffe79) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (000bc1fffffffffffffffffffffffffffdfbbefffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (000bc1ffffdffffffffffffffffffffffffffefebffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (000ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+SDR 281 TDI (000ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe69) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (010cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (010cf9ffffff7ffffffffffffffffffffffffefeeffffffffffffffffffffffffffffe79) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (018fc9ffeedffffffffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (018fc9fffffdfffffffffffffffffffffffffefebffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (008ce1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+SDR 281 TDI (008cc1ffff7ffffffffffffffffffffffffffefebffffffffffffffffffffffffffffe69) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00cfc0aabffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (00cf44aabffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe79) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01cfc1ffeffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (01cfc1ffeefffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (014ee1ffeffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+SDR 281 TDI (014ee1ffefbffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe69) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (004cf9fffeaffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (004c79ffeffffbfffffffffffffffffffffffffffffffffffffffffffffffffffffffe79) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (006fc9ffe7fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (006fc9ffe7edfffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (016ce1fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7f) ;
+SDR 281 TDI (016cc1fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01efc5fff7fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (01ef45fff7ff7ffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00efc9ffe7fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (00efc9ffe7ffb7fffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00ace1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+SDR 281 TDI (00acc1ffffffdffffffffffffffffffffffffefeeffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01afc5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (01af45fffff7fffffffffffffffffffffffffefebffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (012fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (002ee1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (003cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (003c79fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (013fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01bee1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00bcf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (00bc79fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (00ffc1ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff67) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (01fff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe09) ;
+SDR 281 TDI (01fff8bffffffffffffffffffffffffffffafefffffffffffffffffffffffffffffffe09) ;
RUNTEST 10000 TCK;
SDR 281 TDI (017cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (007fc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+SDR 281 TDI (007fc976fffffffffffffffffffffffffffffeeefffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (005ce0abfffffffffffffffffffffffffffafefffffffffffffffffffffffffffffffe7d) ;
+SDR 281 TDI (005cc0abfffffffffffffffffffffffffffafefffffffffffffffffffffffffffffffe7d) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (015fc5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) ;
+SDR 281 TDI (015f45fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) ;
RUNTEST 10000 TCK;
SDR 281 TDI (01dfc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (00dce1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+SDR 281 TDI (00dcc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (009fc5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (009f45fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (019fc9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (011ce1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+SDR 281 TDI (011cc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
RUNTEST 10000 TCK;
-SDR 281 TDI (001fc5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+SDR 281 TDI (001f45fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
RUNTEST 10000 TCK;
SDR 281 TDI (0017ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 10000 TCK;
@@ -393,7 +393,7 @@ SDR 7 TDI (40) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffffffffffffffffffffffffff777efbffffffffffffffffff777777767f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffff7fffffffffffbbe777fffffffffffffffffffffffffffba7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -401,7 +401,7 @@ SDR 7 TDI (60) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9dfffffffffffffffffffffffffffe9de7fffffffffffffffffffffffff3ffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffdffffffffffbbe777ffffffffffffffff7fffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -409,7 +409,7 @@ SDR 7 TDI (20) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffddf7fffffffffffffffffffffffffff3ffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffdfffffffbbe777ffffffffdfffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -417,7 +417,7 @@ SDR 7 TDI (30) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffddf7ffffffffffffffffffffffffff3fffe7f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffffffffffffff7ffffffffbbefffffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -425,7 +425,7 @@ SDR 7 TDI (70) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f8fffffffffffffffffffffffffffff99e7fffffffffffffffffffffffbbbbbbba7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffddf7fffffefbefbffbfffefbefffffffff27c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -433,7 +433,7 @@ SDR 7 TDI (50) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffffffffffffffffffffffffffff777fffffdffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -449,7 +449,7 @@ SDR 7 TDI (18) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9dffffffffffffffffffffffffffff99e7fffffffffffffffffffffffbbbbbbba7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffff777ffff7fffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -457,7 +457,7 @@ SDR 7 TDI (58) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffddf7ffffffffffffffffffffffffffff3fe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffff7fffffbbe777fffffffffffdffffffffffffffffecf) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -465,7 +465,7 @@ SDR 7 TDI (78) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffd7f7fffffffffffffffffffffffffffff3e7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffffffffffffffffffdffffafe777fffffff7ffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -473,7 +473,7 @@ SDR 7 TDI (38) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe03) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -481,7 +481,7 @@ SDR 7 TDI (28) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -489,7 +489,7 @@ SDR 7 TDI (68) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffffffffffffffffffffffffff5f7fffffffffffffffffff7ffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -497,7 +497,7 @@ SDR 7 TDI (48) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffd7f7fffffffffffffffffffffff3fffffff83) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffdfffffffffffffafeffffffffffffffffffffffffffffffff03) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -505,7 +505,7 @@ SDR 7 TDI (08) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffbbbbbbba4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffdebfefffffffffffffffffffffffffffffffecf) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -513,7 +513,7 @@ SDR 7 TDI (0c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffd7f7ffffffffffffffffffffffffffffff27c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffffffffffffffffffff7ffafe5f7fffffffffffffffffdffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -521,7 +521,7 @@ SDR 7 TDI (4c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff83) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff03) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -529,7 +529,7 @@ SDR 7 TDI (6c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffddf7fffffffffffffffffffffffffffffba0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -553,7 +553,7 @@ SDR 7 TDI (7c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffebffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03cdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffa4f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -561,7 +561,7 @@ SDR 7 TDI (5c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffff4bffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff809) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -569,7 +569,7 @@ SDR 7 TDI (1c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffe587fffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdffffffffffffffffffffffffffffffe607fffffffffffffffffffffffffffffe79) MASK (
03fffffffffffffffffffffffffffffe001ff801ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -577,7 +577,7 @@ SDR 7 TDI (14) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffff5fffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03cdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffccf) MASK (
03fffffffffffffffffffffffffffffe001f8001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -585,7 +585,7 @@ SDR 7 TDI (54) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff4bfe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff87c) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -593,7 +593,7 @@ SDR 7 TDI (74) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff4b83) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff903) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -601,7 +601,7 @@ SDR 7 TDI (34) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c15ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03cdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff860f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -609,7 +609,7 @@ SDR 7 TDI (24) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffddf7ffffffffffffffffffffffff3fffffe7d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -617,7 +617,7 @@ SDR 7 TDI (64) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -625,7 +625,7 @@ SDR 7 TDI (44) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03cdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -633,7 +633,7 @@ SDR 7 TDI (04) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -641,7 +641,7 @@ SDR 7 TDI (06) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff83) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff03) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -649,7 +649,7 @@ SDR 7 TDI (46) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03cdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -657,7 +657,7 @@ SDR 7 TDI (66) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -665,7 +665,7 @@ SDR 7 TDI (26) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff83) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff03) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -673,7 +673,7 @@ SDR 7 TDI (36) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03cdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -681,7 +681,7 @@ SDR 7 TDI (76) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -689,7 +689,7 @@ SDR 7 TDI (56) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff83) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff03) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -697,7 +697,7 @@ SDR 7 TDI (16) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03cdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -705,7 +705,7 @@ SDR 7 TDI (1e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -713,7 +713,7 @@ SDR 7 TDI (5e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff83) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff03) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -721,7 +721,7 @@ SDR 7 TDI (7e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03cdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -729,7 +729,7 @@ SDR 7 TDI (3e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -737,7 +737,7 @@ SDR 7 TDI (2e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe03) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -745,7 +745,7 @@ SDR 7 TDI (6e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03cdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -753,7 +753,7 @@ SDR 7 TDI (4e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0399fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -761,7 +761,7 @@ SDR 7 TDI (0e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe03) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -769,7 +769,7 @@ SDR 7 TDI (0a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c8bffffffffffffffffffffffffffffafefffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffff7fffffffffffffefefbfffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -777,7 +777,7 @@ SDR 7 TDI (4a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00d9fffffffffff7fffffffffffffffffffefefbfffffffffffffffffffffffffffe69) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -785,7 +785,7 @@ SDR 7 TDI (6a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0301332ef7ffffffffffffffffffffffebfefffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0301ffffffff7ffffffffffffffffffffffefefbfffffffffffffffffffffffffffe79) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -793,7 +793,7 @@ SDR 7 TDI (2a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffefffffffffffffffffefeeffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -801,7 +801,7 @@ SDR 7 TDI (3a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffff7fffffffffffbeefffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1ffffffffffffffffffffffffdffffffefeeffffffffffffffffffffffffffffe69) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -809,7 +809,7 @@ SDR 7 TDI (7a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f932dbfffffffffffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079332b5554ffeffeffefbfffffbefbfbbefffffffffffffffffffffffffffffffe79) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -817,7 +817,7 @@ SDR 7 TDI (5a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffff7fffffffffffffffff96e7ffffff7ffffffffffffffffffffeffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffff7fffffffffffffffffffffd7e7eeffff7ffffffffffffffffffffeffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -825,7 +825,7 @@ SDR 7 TDI (1a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1f5fffffffffffffffffffffffffffdf67efbfffffffffffffffffffffffffbfe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00c1f5fffffffffffffffffffffffffffdf67efbfffffffffffffffffffffffffbfe69) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -833,7 +833,7 @@ SDR 7 TDI (12) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c5ffffffffffffffffffdffffffffffbeefffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0345ffeffffffffffffffffffffffffffbbefffffffffffffffffffffffffffffffe79) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -841,7 +841,7 @@ SDR 7 TDI (52) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffff7ffffffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffdfffefeeffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -849,7 +849,7 @@ SDR 7 TDI (72) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffffffffffffffffffdffffbeefffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffdfffffffffffffffffffffffefebffffffffffffffffffffffffffffe69) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -857,7 +857,7 @@ SDR 7 TDI (32) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffff7ffbbefffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ddaffffffffffffffffffffffffffffefafffffffffffffffffffffffffffffe79) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -865,7 +865,7 @@ SDR 7 TDI (22) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffff2ffffffffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffffffffffffffffffdffffffffffffefeeffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -873,7 +873,7 @@ SDR 7 TDI (62) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00c1ffffffffffffffffffffffffff7ffffefeeffffffffffffffffffffffffffffe69) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -881,7 +881,7 @@ SDR 7 TDI (42) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c5ff5ffffffffffffffffffffffffffffefebffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0345ff5ffffffffffffffffffffffffffffefebffffffffffffffffffffffffffffe79) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -889,7 +889,7 @@ SDR 7 TDI (02) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffdfbbefffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffdffffffffffffffffffffffffffefebffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -897,7 +897,7 @@ SDR 7 TDI (03) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe69) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -905,7 +905,7 @@ SDR 7 TDI (43) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffff7ffffffffffffffffffffffffefeeffffffffffffffffffffffffffffe79) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -913,7 +913,7 @@ SDR 7 TDI (63) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffeedffffffffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffdfffffffffffffffffffffffffefebffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -921,7 +921,7 @@ SDR 7 TDI (23) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00c1ffff7ffffffffffffffffffffffffffefebffffffffffffffffffffffffffffe69) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -929,7 +929,7 @@ SDR 7 TDI (33) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c0aabffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0344aabffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe79) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -937,7 +937,7 @@ SDR 7 TDI (73) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffeffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffeefffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -945,7 +945,7 @@ SDR 7 TDI (53) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1ffeffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1ffefbffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe69) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -953,7 +953,7 @@ SDR 7 TDI (13) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffeaffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079ffeffffbfffffffffffffffffffffffffffffffffffffffffffffffffffffffe79) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -961,7 +961,7 @@ SDR 7 TDI (1b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffe7fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffe7edfffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -969,7 +969,7 @@ SDR 7 TDI (5b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00c1fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7f) MASK (
03fffffffffffffffffffffffffffffe00078001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -977,7 +977,7 @@ SDR 7 TDI (7b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c5fff7fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0345fff7ff7ffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -985,7 +985,7 @@ SDR 7 TDI (3b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffe7fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffe7ffb7fffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -993,7 +993,7 @@ SDR 7 TDI (2b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00c1ffffffdffffffffffffffffffffffffefeeffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1001,7 +1001,7 @@ SDR 7 TDI (6b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0345fffff7fffffffffffffffffffffffffefebffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1025,7 +1025,7 @@ SDR 7 TDI (0f) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1049,7 +1049,7 @@ SDR 7 TDI (2f) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1065,7 +1065,7 @@ SDR 7 TDI (7f) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe09) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f8bffffffffffffffffffffffffffffafefffffffffffffffffffffffffffffffe09) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1081,7 +1081,7 @@ SDR 7 TDI (1f) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c976fffffffffffffffffffffffffffffeeefffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1089,7 +1089,7 @@ SDR 7 TDI (17) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e0abfffffffffffffffffffffffffffafefffffffffffffffffffffffffffffffe7d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00c0abfffffffffffffffffffffffffffafefffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1097,7 +1097,7 @@ SDR 7 TDI (57) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0345fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1113,7 +1113,7 @@ SDR 7 TDI (37) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1121,7 +1121,7 @@ SDR 7 TDI (27) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0345fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1137,7 +1137,7 @@ SDR 7 TDI (47) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1145,7 +1145,7 @@ SDR 7 TDI (07) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0345fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1274,7 +1274,7 @@ SDR 7 TDI (40) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffffffffffffffffffffffffff777efbffffffffffffffffff777777767f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffff7fffffffffffbbe777fffffffffffffffffffffffffffba7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1282,7 +1282,7 @@ SDR 7 TDI (60) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9dfffffffffffffffffffffffffffe9de7fffffffffffffffffffffffff3ffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffdffffffffffbbe777ffffffffffffffff7fffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1290,7 +1290,7 @@ SDR 7 TDI (20) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffddf7fffffffffffffffffffffffffff3ffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffdfffffffbbe777ffffffffdfffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1298,7 +1298,7 @@ SDR 7 TDI (30) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffddf7ffffffffffffffffffffffffff3fffe7f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffffffffffffff7ffffffffbbefffffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1306,7 +1306,7 @@ SDR 7 TDI (70) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f8fffffffffffffffffffffffffffff99e7fffffffffffffffffffffffbbbbbbba7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffddf7fffffefbefbffbfffefbefffffffff27c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1314,7 +1314,7 @@ SDR 7 TDI (50) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffffffffffffffffffffffffffff777fffffdffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1330,7 +1330,7 @@ SDR 7 TDI (18) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9dffffffffffffffffffffffffffff99e7fffffffffffffffffffffffbbbbbbba7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffff777ffff7fffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1338,7 +1338,7 @@ SDR 7 TDI (58) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffddf7ffffffffffffffffffffffffffff3fe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffff7fffffbbe777fffffffffffdffffffffffffffffecf) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1346,7 +1346,7 @@ SDR 7 TDI (78) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffd7f7fffffffffffffffffffffffffffff3e7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffffffffffffffffffdffffafe777fffffff7ffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1354,7 +1354,7 @@ SDR 7 TDI (38) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe03) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1362,7 +1362,7 @@ SDR 7 TDI (28) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1370,7 +1370,7 @@ SDR 7 TDI (68) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffffffffffffffffffffffffff5f7fffffffffffffffffff7ffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1378,7 +1378,7 @@ SDR 7 TDI (48) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffd7f7fffffffffffffffffffffff3fffffff83) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffdfffffffffffffafeffffffffffffffffffffffffffffffff03) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1386,7 +1386,7 @@ SDR 7 TDI (08) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffbbbbbbba4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffdebfefffffffffffffffffffffffffffffffecf) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1394,7 +1394,7 @@ SDR 7 TDI (0c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffd7f7ffffffffffffffffffffffffffffff27c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffffffffffffffffffff7ffafe5f7fffffffffffffffffdffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1402,7 +1402,7 @@ SDR 7 TDI (4c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff83) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff03) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1410,7 +1410,7 @@ SDR 7 TDI (6c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffddf7fffffffffffffffffffffffffffffba0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1434,7 +1434,7 @@ SDR 7 TDI (7c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffebffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03cdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffa4f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1442,7 +1442,7 @@ SDR 7 TDI (5c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffff4bffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff809) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1450,7 +1450,7 @@ SDR 7 TDI (1c) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffe587fffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdffffffffffffffffffffffffffffffe607fffffffffffffffffffffffffffffe79) MASK (
03fffffffffffffffffffffffffffffe001ff801ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1458,7 +1458,7 @@ SDR 7 TDI (14) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffff5fffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03cdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffccf) MASK (
03fffffffffffffffffffffffffffffe001f8001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1466,7 +1466,7 @@ SDR 7 TDI (54) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff4bfe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff87c) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1474,7 +1474,7 @@ SDR 7 TDI (74) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff4b83) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff903) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1482,7 +1482,7 @@ SDR 7 TDI (34) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c15ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03cdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff860f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1490,7 +1490,7 @@ SDR 7 TDI (24) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffddf7ffffffffffffffffffffffff3fffffe7d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1498,7 +1498,7 @@ SDR 7 TDI (64) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1506,7 +1506,7 @@ SDR 7 TDI (44) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03cdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1514,7 +1514,7 @@ SDR 7 TDI (04) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1522,7 +1522,7 @@ SDR 7 TDI (06) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff83) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff03) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1530,7 +1530,7 @@ SDR 7 TDI (46) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03cdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1538,7 +1538,7 @@ SDR 7 TDI (66) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1546,7 +1546,7 @@ SDR 7 TDI (26) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff83) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff03) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1554,7 +1554,7 @@ SDR 7 TDI (36) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03cdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1562,7 +1562,7 @@ SDR 7 TDI (76) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1570,7 +1570,7 @@ SDR 7 TDI (56) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff83) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff03) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1578,7 +1578,7 @@ SDR 7 TDI (16) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03cdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1586,7 +1586,7 @@ SDR 7 TDI (1e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1594,7 +1594,7 @@ SDR 7 TDI (5e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff83) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff03) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1602,7 +1602,7 @@ SDR 7 TDI (7e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03cdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1610,7 +1610,7 @@ SDR 7 TDI (3e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1618,7 +1618,7 @@ SDR 7 TDI (2e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe03) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1626,7 +1626,7 @@ SDR 7 TDI (6e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03cdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffecf) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1634,7 +1634,7 @@ SDR 7 TDI (4e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0399fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1642,7 +1642,7 @@ SDR 7 TDI (0e) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02fdfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe03) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1650,7 +1650,7 @@ SDR 7 TDI (0a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c8bffffffffffffffffffffffffffffafefffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffff7fffffffffffffefefbfffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1658,7 +1658,7 @@ SDR 7 TDI (4a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00d9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00d9fffffffffff7fffffffffffffffffffefefbfffffffffffffffffffffffffffe69) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1666,7 +1666,7 @@ SDR 7 TDI (6a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0301332ef7ffffffffffffffffffffffebfefffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0301ffffffff7ffffffffffffffffffffffefefbfffffffffffffffffffffffffffe79) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1674,7 +1674,7 @@ SDR 7 TDI (2a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffefffffffffffffffffefeeffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1682,7 +1682,7 @@ SDR 7 TDI (3a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffff7fffffffffffbeefffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1ffffffffffffffffffffffffdffffffefeeffffffffffffffffffffffffffffe69) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1690,7 +1690,7 @@ SDR 7 TDI (7a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f932dbfffffffffffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079332b5554ffeffeffefbfffffbefbfbbefffffffffffffffffffffffffffffffe79) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1698,7 +1698,7 @@ SDR 7 TDI (5a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffff7fffffffffffffffff96e7ffffff7ffffffffffffffffffffeffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffff7fffffffffffffffffffffd7e7eeffff7ffffffffffffffffffffeffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1706,7 +1706,7 @@ SDR 7 TDI (1a) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1f5fffffffffffffffffffffffffffdf67efbfffffffffffffffffffffffffbfe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00c1f5fffffffffffffffffffffffffffdf67efbfffffffffffffffffffffffffbfe69) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1714,7 +1714,7 @@ SDR 7 TDI (12) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c5ffffffffffffffffffdffffffffffbeefffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0345ffeffffffffffffffffffffffffffbbefffffffffffffffffffffffffffffffe79) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1722,7 +1722,7 @@ SDR 7 TDI (52) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffff7ffffffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffdfffefeeffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1730,7 +1730,7 @@ SDR 7 TDI (72) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffffffffffffffffffdffffbeefffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffdfffffffffffffffffffffffefebffffffffffffffffffffffffffffe69) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1738,7 +1738,7 @@ SDR 7 TDI (32) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffff7ffbbefffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ddaffffffffffffffffffffffffffffefafffffffffffffffffffffffffffffe79) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1746,7 +1746,7 @@ SDR 7 TDI (22) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffff2ffffffffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffffffffffffffffffdffffffffffffefeeffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1754,7 +1754,7 @@ SDR 7 TDI (62) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00c1ffffffffffffffffffffffffff7ffffefeeffffffffffffffffffffffffffffe69) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1762,7 +1762,7 @@ SDR 7 TDI (42) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c5ff5ffffffffffffffffffffffffffffefebffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0345ff5ffffffffffffffffffffffffffffefebffffffffffffffffffffffffffffe79) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1770,7 +1770,7 @@ SDR 7 TDI (02) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffdfbbefffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffdffffffffffffffffffffffffffefebffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1778,7 +1778,7 @@ SDR 7 TDI (03) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe69) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1786,7 +1786,7 @@ SDR 7 TDI (43) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffff7ffffffffffffffffffffffffefeeffffffffffffffffffffffffffffe79) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1794,7 +1794,7 @@ SDR 7 TDI (63) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffeedffffffffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffdfffffffffffffffffffffffffefebffffffffffffffffffffffffffffe4f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1802,7 +1802,7 @@ SDR 7 TDI (23) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00c1ffff7ffffffffffffffffffffffffffefebffffffffffffffffffffffffffffe69) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1810,7 +1810,7 @@ SDR 7 TDI (33) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c0aabffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0344aabffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe79) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1818,7 +1818,7 @@ SDR 7 TDI (73) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffeffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffeefffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe4f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1826,7 +1826,7 @@ SDR 7 TDI (53) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1ffeffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1ffefbffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe69) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1834,7 +1834,7 @@ SDR 7 TDI (13) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffeaffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079ffeffffbfffffffffffffffffffffffffffffffffffffffffffffffffffffffe79) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1842,7 +1842,7 @@ SDR 7 TDI (1b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffe7fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffe7edfffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1850,7 +1850,7 @@ SDR 7 TDI (5b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00c1fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7f) MASK (
03fffffffffffffffffffffffffffffe00078001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1858,7 +1858,7 @@ SDR 7 TDI (7b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c5fff7fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0345fff7ff7ffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1866,7 +1866,7 @@ SDR 7 TDI (3b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffe7fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9ffe7ffb7fffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1874,7 +1874,7 @@ SDR 7 TDI (2b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00c1ffffffdffffffffffffffffffffffffefeeffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1882,7 +1882,7 @@ SDR 7 TDI (6b) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0345fffff7fffffffffffffffffffffffffefebffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1906,7 +1906,7 @@ SDR 7 TDI (0f) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1930,7 +1930,7 @@ SDR 7 TDI (2f) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1946,7 +1946,7 @@ SDR 7 TDI (7f) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe09) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f8bffffffffffffffffffffffffffffafefffffffffffffffffffffffffffffffe09) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1962,7 +1962,7 @@ SDR 7 TDI (1f) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c976fffffffffffffffffffffffffffffeeefffffffffffffffffffffffffffffe0f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1970,7 +1970,7 @@ SDR 7 TDI (17) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e0abfffffffffffffffffffffffffffafefffffffffffffffffffffffffffffffe7d) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00c0abfffffffffffffffffffffffffffafefffffffffffffffffffffffffffffffe7d) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1978,7 +1978,7 @@ SDR 7 TDI (57) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0345fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe83) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -1994,7 +1994,7 @@ SDR 7 TDI (37) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -2002,7 +2002,7 @@ SDR 7 TDI (27) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0345fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -2018,7 +2018,7 @@ SDR 7 TDI (47) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
@@ -2026,7 +2026,7 @@ SDR 7 TDI (07) SMASK (7f) ;
RUNTEST DRPAUSE 20 TCK;
ENDDR IDLE;
RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c5fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0345fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
RUNTEST 100 TCK;
ENDDR DRPAUSE;
diff --git a/firmware/cpld/sgpio_if/default.xsvf b/firmware/cpld/sgpio_if/default.xsvf
old mode 100755
new mode 100644
index a08989e5..f9a2e350
Binary files a/firmware/cpld/sgpio_if/default.xsvf and b/firmware/cpld/sgpio_if/default.xsvf differ
diff --git a/firmware/cpld/sgpio_if/sgpio_if.xise b/firmware/cpld/sgpio_if/sgpio_if.xise
index 0ac6ecc6..e925cd4d 100755
--- a/firmware/cpld/sgpio_if/sgpio_if.xise
+++ b/firmware/cpld/sgpio_if/sgpio_if.xise
@@ -43,7 +43,7 @@
-
+
@@ -80,9 +80,9 @@
-
+
-
+
@@ -91,7 +91,7 @@
-
+
@@ -103,7 +103,7 @@
-
+
@@ -131,7 +131,7 @@
-
+
@@ -180,7 +180,7 @@
-
+
@@ -194,7 +194,7 @@
-
+
diff --git a/firmware/cpld/sgpio_if/top.jed b/firmware/cpld/sgpio_if/top.jed
old mode 100755
new mode 100644
index 63a72272..b4f837ae
--- a/firmware/cpld/sgpio_if/top.jed
+++ b/firmware/cpld/sgpio_if/top.jed
@@ -1,5 +1,5 @@
Programmer Jedec Bit Map
-Date Extracted: Mon May 15 14:19:25 2017
+Date Extracted: Mon Jan 21 16:17:38 2019
QF25812*
QP100*
@@ -13,22 +13,22 @@ N DEVICE XC2C64A-7-VQ100*
Note Block 0 *
Note Block 0 ZIA *
L000000 1111111111111111*
-L000016 1111111111111111*
-L000032 1111111011110011*
-L000048 1111111111111111*
-L000064 1111111111111111*
-L000080 1111111011010111*
+L000016 1111111011010111*
+L000032 1111111011010111*
+L000048 1111111011010111*
+L000064 1111111011010111*
+L000080 1111111111111111*
L000096 1111111111111111*
L000112 1111111111111111*
-L000128 1111111011010111*
-L000144 1111111111111111*
-L000160 1111111111111111*
+L000128 1111111111111111*
+L000144 1111111011010111*
+L000160 1111111011100111*
L000176 1111111111111111*
L000192 1111111111111111*
L000208 1111111111111111*
-L000224 1111111111111111*
-L000240 1111111111111111*
-L000256 1111111111111111*
+L000224 1111111011100111*
+L000240 1111111011110011*
+L000256 1111111011100111*
L000272 1111111111111111*
L000288 1111111111111111*
L000304 1111111111111111*
@@ -54,8 +54,8 @@ L000608 1111111111111111*
L000624 1111111111111111*
Note Block 0 PLA AND array *
-L000640 11111111111011111111111111111111111111111111111111111111111111111111111111111111*
-L000720 11110111111111110111111111111111111111111111111111111111111111111111111111111111*
+L000640 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L000720 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L000800 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L000880 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L000960 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
@@ -85,35 +85,35 @@ L002800 111111111111111111111111111111111111111111111111111111111111111111111111
L002880 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L002960 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L003040 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L003120 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L003120 11111111111111111111111111110111111111111111111111111111111111111111111111111111*
L003200 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L003280 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L003360 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L003360 11011111111111111111111111111111111111111111111111111111111111111111111111111111*
L003440 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L003520 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L003600 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L003600 11110111111111111111111111111111111111111111111111111111111111111111111111111111*
L003680 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L003760 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L003840 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L003840 11111111011111111111111111111111111111111111111111111111111111111111111111111111*
L003920 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L004000 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L004080 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L004080 11111101111111111111111111111111111111111111111111111111111111111111111111111111*
L004160 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L004240 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L004320 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L004320 11111111111111111101111111111111111111111111111111111111111111111111111111111111*
L004400 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L004480 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L004560 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L004560 11111111111111111111011111111111111111111111111111111111111111111111111111111111*
L004640 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L004720 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L004800 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L004800 11111111111111111111111111111111011111111111111111111111111111111111111111111111*
L004880 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L004960 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L005040 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L005040 11111111111111111111111111111101111111111111111111111111111111111111111111111111*
Note Block 0 PLA OR array *
-L005120 1111111111111110*
-L005136 1111111111111110*
+L005120 1111111111111111*
+L005136 1111111111111111*
L005152 1111111111111111*
L005168 1111111111111111*
L005184 1111111111111111*
@@ -178,39 +178,39 @@ L006097 000001111001111110011111100*
L006124 000001111001111110011111100*
L006151 000001111001111110011111100*
L006178 000001111001111110011111100*
-L006205 000001111001111110011111100*
-L006232 000001111001111110011111100*
-L006259 000001111001111110011111100*
-L006286 000001111001111110011111100*
-L006313 000001111001111110011111100*
-L006340 000001111001111110011111100*
-L006367 000001111001111110011111100*
-L006394 000001111001111110011111100*
-L006421 000001111001100110011111101*
+L006205 010101111001110111011111101*
+L006232 010101111001110111011111101*
+L006259 010101111001110111011111101*
+L006286 010101111001110111011111101*
+L006313 010101111001110111011111101*
+L006340 010101111001110111011111101*
+L006367 010101111001110111011111101*
+L006394 010101111001110111011111101*
+L006421 010101111001110111011111101*
Note Block 1 *
Note Block 1 ZIA *
L006448 1111111111111111*
L006464 1110101011111111*
-L006480 1111111011010111*
-L006496 1111111011010111*
-L006512 1111111011010111*
+L006480 1110101011111111*
+L006496 1110101011111111*
+L006512 1111111111111111*
L006528 1111111011010111*
-L006544 1111111111111111*
+L006544 1110101011111111*
L006560 1111111111111111*
-L006576 1111111011010111*
-L006592 1111111011010111*
-L006608 1111111011100111*
+L006576 1110101011111111*
+L006592 1110101011111111*
+L006608 1110101011111111*
L006624 1111111111111111*
L006640 1111111111111111*
-L006656 1111111111111111*
-L006672 1111111011100111*
-L006688 1111111011110011*
-L006704 1111111011100111*
+L006656 1110110011111111*
+L006672 1111111111111111*
+L006688 1111111111111111*
+L006704 1110110011111111*
L006720 1111111111111111*
-L006736 1111111111111111*
+L006736 1111111011010111*
L006752 1111111111111111*
-L006768 1111111011010111*
+L006768 1111111111111111*
L006784 1111111111111111*
L006800 1111111111111111*
L006816 1111111111111111*
@@ -232,32 +232,32 @@ L007056 1111111111111111*
L007072 1111111111111111*
Note Block 1 PLA AND array *
-L007088 11111111110111110111111111111101011111111111111111111111111111111111111111111111*
-L007168 11101111111111111111111111111111101111111111111111111111111111111111111111111111*
-L007248 11111111110111110111011111111101111111111111111111111111111111111111111111111111*
-L007328 11101111111111111111101111111111111111111111111111111111111111111111111111111111*
-L007408 11111111110111110101111111111101111111111111111111111111111111111111111111111111*
-L007488 11101111111111111110111111111111111111111111111111111111111111111111111111111111*
-L007568 11111101110111110111111111111101111111111111111111111111111111111111111111111111*
-L007648 11101110111111111111111111111111111111111111111111111111111111111111111111111111*
-L007728 11111111010111110111111111111101111111111111111111111111111111111111111111111111*
-L007808 11101111101111111111111111111111111111111111111111111111111111111111111111111111*
-L007888 11110111110111110111111111111101111111111111111111111111111111111111111111111111*
-L007968 11101011111111111111111111111111111111111111111111111111111111111111111111111111*
-L008048 11111111110111110111111111111101111111110111111111111111111111111111111111111111*
-L008128 11101111111111111111111111111111111111111011111111111111111111111111111111111111*
-L008208 11111111110111110111111111110101111111111111111111111111111111111111111111111111*
-L008288 11101111111111111111111111111011111111111111111111111111111111111111111111111111*
+L007088 11011111110111111111111111111111111101111111111111111111111111111111111111111111*
+L007168 11111111111011111111111111111111111111111111111111111111111111111111111111111111*
+L007248 11011111111111111111111111111111111101111111111111111111111111111111111111111111*
+L007328 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L007408 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L007488 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L007568 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L007648 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L007728 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L007808 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L007888 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L007968 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L008048 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L008128 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L008208 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L008288 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L008368 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L008448 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L008528 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L008608 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L008608 11111111110111111111111111101111111111111111111111111111111111111111111111111111*
L008688 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L008768 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L008848 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L008848 11111111110111111111111111111111101111111111111111111111111111111111111111111111*
L008928 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L009008 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L009088 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L009088 11111011110111111111111111111111111111111111111111111111111111111111111111111111*
L009168 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L009248 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L009328 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
@@ -266,46 +266,46 @@ L009488 111111111111111111111111111111111111111111111111111111111111111111111111
L009568 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L009648 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L009728 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L009808 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L009808 11111111110111111110111111111111111111111111111111111111111111111111111111111111*
L009888 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L009968 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L010048 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L010128 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L010208 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L010288 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L010288 11111110110111111111111111111111111111111111111111111111111111111111111111111111*
L010368 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L010448 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L010528 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L010528 11111111110111111111101111111111111111111111111111111111111111111111111111111111*
L010608 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L010688 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L010768 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L010768 11111111110110111111111111111111111111111111111111111111111111111111111111111111*
L010848 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L010928 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L011008 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L011008 11111111110111111011111111111111111111111111111111111111111111111111111111111111*
L011088 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L011168 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L011248 11011111111111111111111111111111111111111111111111111111111111111111111111111111*
+L011248 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L011328 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L011408 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L011488 11011111111111111111111111111111111111111111111111111111111111111111111111111111*
+L011488 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
Note Block 1 PLA OR array *
-L011568 1111111111111011*
-L011584 1111111111111011*
-L011600 1111111111110111*
-L011616 1111111111110111*
-L011632 1111111111101111*
-L011648 1111111111101111*
-L011664 1111111111011111*
-L011680 1111111111011111*
-L011696 1111111101111111*
-L011712 1111111101111111*
-L011728 1111101111111111*
-L011744 1111101111111111*
-L011760 1111011111111111*
-L011776 1111011111111111*
-L011792 1110111111111111*
-L011808 1110111111111111*
+L011568 1110001101000011*
+L011584 1111111111111100*
+L011600 1111111111111100*
+L011616 1111111111111111*
+L011632 1111111111111111*
+L011648 1111111111111111*
+L011664 1111111111111111*
+L011680 1111111111111111*
+L011696 1111111111111111*
+L011712 1111111111111111*
+L011728 1111111111111111*
+L011744 1111111111111111*
+L011760 1111111111111111*
+L011776 1111111111111111*
+L011792 1111111111111111*
+L011808 1111111111111111*
L011824 1111111111111111*
L011840 1111111111111111*
L011856 1111111111111111*
@@ -352,44 +352,44 @@ N Aclk ClkOp Clk:2 ClkFreq R:2 P:2 RegMod:2 INz:2 FB:2 InReg St XorIn:2 RegCom O
L012464 000001111001111110011111100*
L012491 000001111001111110011111100*
L012518 000001111001111110011111100*
-L012545 000101111001111100000000011*
-L012572 000101111001111101100000011*
-L012599 000101111001111101100000011*
+L012545 010101111001111101000000011*
+L012572 010101111001111100100000011*
+L012599 010101111001111100100000011*
L012626 000001111001111110011111100*
-L012653 000001111000011100011111100*
-L012680 000101111001111101100000011*
-L012707 000001111001111100011111100*
-L012734 000101111001111101100000011*
-L012761 000101111001111101100000011*
-L012788 000101111001111101100000011*
-L012815 000101111001111101100000011*
-L012842 000101111001111101000000011*
-L012869 000101111001111101000000011*
+L012653 000101111000010000011111001*
+L012680 010101111001111100100000011*
+L012707 000001111001111100011111000*
+L012734 010101111001111100100000011*
+L012761 010101111001111100100000011*
+L012788 010101111001111100100000011*
+L012815 010101111001111100100000011*
+L012842 010101111001111100000000011*
+L012869 010101111001111100000000011*
Note Block 2 *
Note Block 2 ZIA *
-L012896 1111111011100111*
-L012912 1111111111111111*
-L012928 1111111011110011*
-L012944 1111111111111111*
-L012960 1111111010110111*
+L012896 0110111011111111*
+L012912 0110111011111111*
+L012928 0110111011111111*
+L012944 1010111011111111*
+L012960 1010111011111111*
L012976 1111111011010111*
-L012992 1111111010110111*
+L012992 1010111011111111*
L013008 0110111011111111*
-L013024 1111111010110111*
-L013040 1111111010110111*
-L013056 1111111010110111*
-L013072 1111111011010111*
-L013088 1111111011010111*
-L013104 1111111111111111*
+L013024 1111111011010111*
+L013040 1010111011111111*
+L013056 1100111011111111*
+L013072 1110011011111111*
+L013088 1010111011111111*
+L013104 1010111011111111*
L013120 1100111011111111*
-L013136 1111111011010111*
+L013136 1100111011111111*
L013152 1111111111111111*
-L013168 1111111111111111*
-L013184 1111111011010111*
-L013200 1111111111111111*
-L013216 1111111111111111*
-L013232 1111111111111111*
+L013168 1010111011111111*
+L013184 1100111011111111*
+L013200 1100111011111111*
+L013216 1010111011111111*
+L013232 1100111011111111*
L013248 1111111111111111*
L013264 1111111111111111*
L013280 1111111111111111*
@@ -397,9 +397,9 @@ L013296 1111111111111111*
L013312 1111111111111111*
L013328 1111111111111111*
L013344 1111111111111111*
-L013360 1111111111111111*
+L013360 1111111011100111*
L013376 1111111111111111*
-L013392 1111111111111111*
+L013392 1110101011111111*
L013408 1111111011100111*
L013424 1111111111111111*
L013440 1111111111111111*
@@ -410,44 +410,44 @@ L013504 1111111111111111*
L013520 1111111111111111*
Note Block 2 PLA AND array *
-L013536 10110111110111111111111111111111111111111111111111111111111111111011111111111111*
-L013616 10111011111011111111111111111111111111111111111111111111111111111011111111111111*
-L013696 11110111110111011111111111111111111111111111111111111111111111111011111111111111*
-L013776 11111011111011011111111111111111111111111111111111111111111111111011111111111111*
-L013856 11110111111011111111111111110111111111111111111111111111111111111111111111111111*
-L013936 11111011110111111111111111110111111111111111111111111111111111111111111111111111*
-L014016 11111011111111111111111111111111111110111111111111111111111111111111111111111111*
+L013536 11111111110111111111111111111111111111111111111111111111111011011011111111111111*
+L013616 11111111111011111111110111111111111111111111111111111111111011111011111111111111*
+L013696 11111111110111011111111111111111111111111111111111111111111111011011111111111111*
+L013776 11111111111011011111110111111111111111111111111111111111111111111011111111111111*
+L013856 11111111110111111111111111110111111111111111111111111111111111101111111111111111*
+L013936 11111111111011111111111011110111111111111111111111111111111111111111111111111111*
+L014016 11111111111011111011111011111111111111111111111111111111111111111111111111111111*
L014096 11111111111011111111111111111111111111111111111111111111111111111111111111111111*
-L014176 11111011111111111111111101111111111110111111111111111111111111111111111111111111*
-L014256 11111111111111111111111110111111111101111111111111111111111111111111111111111111*
-L014336 11110111111111111111111110111111111111111111111111111111111111111111111111111111*
-L014416 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L014496 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L014576 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L014656 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L014736 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L014816 11111111111111111101111111111111111111111111111111111111111111111111111111111111*
+L014176 11111111110111111111111111111111111111011111111111111111111111111111111111111111*
+L014256 11111111110111111111111111111101111111111111111111111111111111111111111111111111*
+L014336 11111111110111111111111111111111111111111101111111111111111111111111111111111111*
+L014416 11111111110111111111111111111111111101111111111111111111111111111111111111111111*
+L014496 11111111110111111111111111111111110111111111111111111111111111111111111111111111*
+L014576 11111111110111111111111111111111111111110111111111111111111111111111111111111111*
+L014656 11111111110101111111111111111111111111111111111111111111111111111111111111111111*
+L014736 11111111110111111111011111111111111111111111111111111111111111111111111111111111*
+L014816 11110111111011111111111111111111111111111111111111111111111111111111111111111111*
L014896 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L014976 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L015056 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L015136 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L015216 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L015296 11111111111101111111111111111111111111111111111111111111111111111111111111111111*
+L015296 11011111111011111111111111111111111111111111111111111111111111111111111111111111*
L015376 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L015456 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L015536 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L015616 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L015696 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L015776 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
+L015776 11111110111011111111111111111111111111111111111111111111111111111111111111111111*
L015856 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L015936 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L016016 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L016096 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L016176 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L016256 11111111011111111111111111111111111111111111111111111111111111111111111111111111*
+L016256 01111111111011111111111111111111111111111111111111111111111111111111111111111111*
L016336 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L016416 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L016496 11111111111111110111111111111111111111111111111111111111111111111111111111111111*
+L016496 11111111111011111111111101111111111111111111111111111111111111111111111111111111*
L016576 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L016656 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L016736 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
@@ -459,13 +459,13 @@ L017136 111111111111111111111111111111111111111111111111111111111111111111111111
L017216 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L017296 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L017376 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L017456 11111111111111111111011111111111111111111111111111111111111111111111111111111111*
+L017456 11111111011011111111111111111111111111111111111111111111111111111111111111111111*
L017536 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L017616 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L017696 11111111111111111111110111111111111111111111111111111111111111111111111111111111*
+L017696 11111111111011111111111111011111111111111111111111111111111111111111111111111111*
L017776 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
L017856 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L017936 11111111111111111111111111111101111111111111111111111111111111111111111111111111*
+L017936 11111111111011111101111111111111111111111111111111111111111111111111111111111111*
Note Block 2 PLA OR array *
L018016 0111111111111111*
@@ -474,16 +474,16 @@ L018048 0111111111111111*
L018064 0111111111111111*
L018080 0111111111111111*
L018096 0111111111111111*
-L018112 1101011100111000*
+L018112 1101010100111000*
L018128 1111111111111111*
-L018144 1111110111111111*
-L018160 1111110111111111*
-L018176 1111110111111111*
-L018192 1111111111111111*
-L018208 1111111111111111*
-L018224 1111111111111111*
-L018240 1111111111111111*
-L018256 1111111111111111*
+L018144 1101111111111111*
+L018160 1111011111111111*
+L018176 1111111101111111*
+L018192 1111111110111111*
+L018208 1111111111111011*
+L018224 1111111111111101*
+L018240 1111111111111110*
+L018256 1111110111111111*
L018272 1111111111111111*
L018288 1111111111111111*
L018304 1111111111111111*
@@ -528,21 +528,21 @@ L018896 1111111111111111*
Note Block 2 I/O Macrocell Configuration 27 bits *
N Aclk ClkOp Clk:2 ClkFreq R:2 P:2 RegMod:2 INz:2 FB:2 InReg St XorIn:2 RegCom Oe:4 Tm Slw Pu*
L018912 000101111001110100000000011*
-L018939 000001111000011100011111100*
-L018966 000101111000011101001000111*
+L018939 000001111000011100011111000*
+L018966 000101111000010101001000011*
L018993 000001111001111110011111100*
-L019020 000101111000011101001000111*
+L019020 000101111000010101001000011*
L019047 000001111001111110011111100*
-L019074 000101111000011100001000111*
-L019101 000001111000011100011111100*
-L019128 000101111000011101001000111*
-L019155 000101111000011101001000111*
-L019182 000001111000011100011111100*
-L019209 000001111000011100011111100*
+L019074 000101111000010101001000011*
+L019101 000001111000011100011111000*
+L019128 000101111000010101001000011*
+L019155 000101111000010101001000011*
+L019182 000001111000011100011111000*
+L019209 000001111000011100011111000*
L019236 000001111001111110011111100*
-L019263 000101111000011101001000111*
-L019290 000101111000011101001000111*
-L019317 000101111000011101001000111*
+L019263 000101111000010101001000011*
+L019290 000101111000010101001000011*
+L019317 000101111000010101001000011*
Note Block 3 *
Note Block 3 ZIA *
@@ -705,14 +705,14 @@ L025344 1111111111111111*
Note Block 3 I/O Macrocell Configuration 27 bits *
N Aclk ClkOp Clk:2 ClkFreq R:2 P:2 RegMod:2 INz:2 FB:2 InReg St XorIn:2 RegCom Oe:4 Tm Slw Pu*
-L025360 000001111000011100011111100*
-L025387 000001111000011100011111100*
-L025414 000001111000011100011111100*
-L025441 000001111000011100011111100*
-L025468 000001111000011100011111100*
-L025495 000001111000011100011111100*
-L025522 000001111000011100011111100*
-L025549 000001111000011100011111100*
+L025360 000101111001110000011111001*
+L025387 000101111001110000011111001*
+L025414 000101111001110000011111001*
+L025441 000101111001110000011111001*
+L025468 000101111001110000011111001*
+L025495 000101111001110000011111001*
+L025522 000101111001110000011111001*
+L025549 000101111001110000011111001*
L025576 000001111001111110011111100*
L025603 000001111001111110011111100*
L025630 000001111001111110011111100*
@@ -733,13 +733,13 @@ Note Global OE Mux *
L025797 11111111*
Note Global Termination *
-L025805 0*
+L025805 1*
Note Input Voltage Standard for IOB *
-L025806 1*
+L025806 0*
Note Output Voltage Standard for IOB *
-L025807 1*
+L025807 0*
Note I/O Bank 0 Vcci *
L025808 0*
@@ -753,5 +753,5 @@ L025810 0*
Note I/O Bank 1 Vcco *
L025811 0*
-C0AA8*
-AABC
+C035D*
+AA97
diff --git a/firmware/cpld/sgpio_if/top.ucf b/firmware/cpld/sgpio_if/top.ucf
index 82aaca90..8d45fbaf 100755
--- a/firmware/cpld/sgpio_if/top.ucf
+++ b/firmware/cpld/sgpio_if/top.ucf
@@ -29,41 +29,41 @@ TIMEGRP "to_host" OFFSET = OUT 20 ns AFTER "CODEC_X2_CLK";
#PACE: Start of Constraints generated by PACE
#PACE: Start of PACE I/O Pin Assignments
-NET "CODEC_CLK" LOC = "P23" | IOSTANDARD = LVCMOS33 ;
-NET "CODEC_X2_CLK" LOC = "P27" | IOSTANDARD = LVCMOS33 ;
-NET "DA<0>" LOC = "P43" | IOSTANDARD = LVCMOS33 ;
-NET "DA<1>" LOC = "P42" | IOSTANDARD = LVCMOS33 ;
-NET "DA<2>" LOC = "P41" | IOSTANDARD = LVCMOS33 ;
-NET "DA<3>" LOC = "P40" | IOSTANDARD = LVCMOS33 ;
-NET "DA<4>" LOC = "P39" | IOSTANDARD = LVCMOS33 ;
-NET "DA<5>" LOC = "P37" | IOSTANDARD = LVCMOS33 ;
-NET "DA<6>" LOC = "P36" | IOSTANDARD = LVCMOS33 ;
-NET "DA<7>" LOC = "P35" | IOSTANDARD = LVCMOS33 ;
-NET "DD<0>" LOC = "P34" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ;
-NET "DD<1>" LOC = "P33" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ;
-NET "DD<2>" LOC = "P32" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ;
-NET "DD<3>" LOC = "P30" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ;
-NET "DD<4>" LOC = "P29" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ;
-NET "DD<5>" LOC = "P28" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ;
-NET "DD<6>" LOC = "P24" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ;
-NET "DD<7>" LOC = "P19" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ;
-NET "DD<8>" LOC = "P18" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ;
-NET "DD<9>" LOC = "P17" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ;
-NET "HOST_CAPTURE" LOC = "P91" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ;
-NET "HOST_DATA<0>" LOC = "P89" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ;
-NET "HOST_DATA<1>" LOC = "P79" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ;
-NET "HOST_DATA<2>" LOC = "P74" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ;
-NET "HOST_DATA<3>" LOC = "P72" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ;
-NET "HOST_DATA<4>" LOC = "P67" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ;
-NET "HOST_DATA<5>" LOC = "P64" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ;
-NET "HOST_DATA<6>" LOC = "P61" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ;
-NET "HOST_DATA<7>" LOC = "P77" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ;
-NET "HOST_DIRECTION" LOC = "P71" | IOSTANDARD = LVCMOS33 ;
-NET "HOST_DISABLE" LOC = "P76" | IOSTANDARD = LVCMOS33 ;
-NET "HOST_Q_INVERT" LOC = "P70" | IOSTANDARD = LVCMOS33 ;
-NET "HOST_SYNC_EN" LOC = "P90" | IOSTANDARD = LVCMOS33 ;
-NET "HOST_SYNC" LOC = "P55" | IOSTANDARD = LVCMOS33;
-NET "HOST_SYNC_CMD" LOC = "P56" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ;
+NET "CODEC_CLK" LOC = "P23" ;
+NET "CODEC_X2_CLK" LOC = "P27" ;
+NET "DA<0>" LOC = "P43" ;
+NET "DA<1>" LOC = "P42" ;
+NET "DA<2>" LOC = "P41" ;
+NET "DA<3>" LOC = "P40" ;
+NET "DA<4>" LOC = "P39" ;
+NET "DA<5>" LOC = "P37" ;
+NET "DA<6>" LOC = "P36" ;
+NET "DA<7>" LOC = "P35" ;
+NET "DD<0>" LOC = "P34" ;
+NET "DD<1>" LOC = "P33" ;
+NET "DD<2>" LOC = "P32" ;
+NET "DD<3>" LOC = "P30" ;
+NET "DD<4>" LOC = "P29" ;
+NET "DD<5>" LOC = "P28" ;
+NET "DD<6>" LOC = "P24" ;
+NET "DD<7>" LOC = "P19" ;
+NET "DD<8>" LOC = "P18" ;
+NET "DD<9>" LOC = "P17" ;
+NET "HOST_CAPTURE" LOC = "P91" ;
+NET "HOST_DATA<0>" LOC = "P89" ;
+NET "HOST_DATA<1>" LOC = "P79" ;
+NET "HOST_DATA<2>" LOC = "P74" ;
+NET "HOST_DATA<3>" LOC = "P72" ;
+NET "HOST_DATA<4>" LOC = "P67" ;
+NET "HOST_DATA<5>" LOC = "P64" ;
+NET "HOST_DATA<6>" LOC = "P61" ;
+NET "HOST_DATA<7>" LOC = "P77" ;
+NET "HOST_DIRECTION" LOC = "P71" ;
+NET "HOST_DISABLE" LOC = "P76" ;
+NET "HOST_Q_INVERT" LOC = "P70" ;
+NET "HOST_SYNC_EN" LOC = "P90" ;
+NET "HOST_SYNC" LOC = "P55" | PULLUP ;
+NET "HOST_SYNC_CMD" LOC = "P56" ;
#PACE: Start of PACE Area Constraints
diff --git a/firmware/cpld/sgpio_if/top.vhd b/firmware/cpld/sgpio_if/top.vhd
index f65dadbd..8450c219 100755
--- a/firmware/cpld/sgpio_if/top.vhd
+++ b/firmware/cpld/sgpio_if/top.vhd
@@ -47,7 +47,8 @@ entity top is
end top;
architecture Behavioral of top is
- signal codec_clk_i : std_logic;
+ signal codec_clk_rx_i : std_logic;
+ signal codec_clk_tx_i : std_logic;
signal adc_data_i : std_logic_vector(7 downto 0);
signal dac_data_o : std_logic_vector(9 downto 0);
@@ -75,14 +76,11 @@ begin
------------------------------------------------
-- Codec interface
- adc_data_i <= DA(7 downto 0);
DD(9 downto 0) <= dac_data_o;
------------------------------------------------
-- Clocks
- codec_clk_i <= CODEC_CLK;
-
BUFG_host : BUFG
port map (
O => host_clk_i,
@@ -94,7 +92,6 @@ begin
HOST_DATA <= data_to_host_o when transfer_direction_i = from_adc
else (others => 'Z');
- data_from_host_i <= HOST_DATA;
HOST_CAPTURE <= host_data_capture_o;
host_sync_enable <= HOST_SYNC_EN;
@@ -109,26 +106,32 @@ begin
q_invert <= HOST_Q_INVERT;
rx_q_invert_mask <= X"80" when q_invert = '1' else X"7f";
- tx_q_invert_mask <= X"7F" when q_invert = '1' else X"80";
-
+ tx_q_invert_mask <= X"7f" when q_invert = '1' else X"80";
+
process(host_clk_i)
begin
if rising_edge(host_clk_i) then
- if codec_clk_i = '1' then
- -- I: non-inverted between MAX2837 and MAX5864
- data_to_host_o <= adc_data_i xor X"80";
- else
- -- Q: inverted between MAX2837 and MAX5864
- data_to_host_o <= adc_data_i xor rx_q_invert_mask;
+ codec_clk_rx_i <= CODEC_CLK;
+ adc_data_i <= DA(7 downto 0);
+ if (transfer_direction_i = from_adc) then
+ if codec_clk_rx_i = '1' then
+ -- I: non-inverted between MAX2837 and MAX5864
+ data_to_host_o <= adc_data_i xor X"80";
+ else
+ -- Q: inverted between MAX2837 and MAX5864
+ data_to_host_o <= adc_data_i xor rx_q_invert_mask;
+ end if;
end if;
end if;
end process;
process(host_clk_i)
begin
- if rising_edge(host_clk_i) then
+ if falling_edge(host_clk_i) then
+ codec_clk_tx_i <= CODEC_CLK;
+ data_from_host_i <= HOST_DATA;
if transfer_direction_i = to_dac then
- if codec_clk_i = '1' then
+ if codec_clk_tx_i = '1' then
dac_data_o <= (data_from_host_i xor tx_q_invert_mask) & tx_q_invert_mask(0) & tx_q_invert_mask(0);
else
dac_data_o <= (data_from_host_i xor X"80") & "00";
@@ -155,11 +158,11 @@ begin
begin
if rising_edge(host_clk_i) then
if transfer_direction_i = to_dac then
- if codec_clk_i = '1' then
+ if codec_clk_tx_i = '1' then
host_data_capture_o <= host_data_enable_i and (host_sync_latched or not host_sync_enable);
end if;
else
- if codec_clk_i = '0' then
+ if codec_clk_rx_i = '1' then
host_data_capture_o <= host_data_enable_i and (host_sync_latched or not host_sync_enable);
end if;
end if;